ATC单片机与键盘显示器等的接口设计PPT学习课件

上传人:可**** 文档编号:24399761 上传时间:2021-06-29 格式:PPTX 页数:99 大小:5.69MB
返回 下载 相关 举报
ATC单片机与键盘显示器等的接口设计PPT学习课件_第1页
第1页 / 共99页
ATC单片机与键盘显示器等的接口设计PPT学习课件_第2页
第2页 / 共99页
ATC单片机与键盘显示器等的接口设计PPT学习课件_第3页
第3页 / 共99页
点击查看更多>>
资源描述
单片机与键盘、显示器、拨盘、打印机接口设计 与 LED的 接 口与 键 盘 的 接 口与 LCD的 接 口与 打 印 机 的 接 口与 BCD码 拨 盘 的 接 口 【 例 】 要 求 用 一 个 LED数 码 显 示 器 循 环 显 示 0 9这 10个 数 字 , 每 个 数 字 显 示1s。 硬 件 电 路 。由 P1.0 P1.6驱 动 共 阳 七 段LED数 码 管 。 显 示 的 字 形 由P1口 送 出 的 数 码 决 定 。 每 个数 字 显 示 1s由 软 件 延 时 。一 、 LED l 七 段 LED显 示 器 (数 码 管 )系 发 光 器 件 的 一 种 。 常 用 的 LED发 光 器 件 有 两 类 :数 码 管 和 点 阵 。l 数 码 管 内 部 由 七 个 条 形 发 光 二 极 管 和 一 个 小 圆 点 发 光 二 极 管 组 成 , 根 据各 管 的 亮 暗 组 合 成 字 符 。 根 据 内 部 发 光 二 极 管 的 接 线 形 式 可 分 为 共 阴 极和 共 阳 极 两 种 。l 使 用 时 , 共 阴 极 数 码 管 公 共 端 接 地 , 共 阳 极 数 码 管 公 共 端 接 电 源 。 每 段发 光 二 极 管 需 5 10mA的 驱 动 电 流 才 能 正 常 发 光 , 一 般 需 加 限 流 电 阻 控 制电 流 的 大 小 。 1、LED显示器的结构 l LED数 码 管 的 a g七 个 发 光 二 极 管 。 不 同 亮 暗 的 组 合 就 能 形 成 不 同 的 字 型 , 这 种组 合 称 为 字 型 码 。 共 阳 极 和 共 阴 极 的 字 型 码 是 不 同 的 。l 可 采 用 硬 件 译 码 输 出 字 型 码 控 制 显 示 内 容 , 如 采 用 74LS48、 CD4511(共 阴 极 )或74LS46(74LS47)、 CD4513(共 阳 极 )。 也 可 用 单 片 机 I/O口 直 接 输 出 字 型 码 控 制 数码 管 的 显 示 内 容 。 2、LED数码显示器的显示段码 字 形 共 阳 极 LED显 示 码 共 阴 极 LED显 示 码h g f e d c b a 十 六 进 制码 h g f e d c b a 十 六 进 制码0 1 1 0 0 0 0 0 0 C0H 0 0 1 1 1 1 1 1 3FH1 1 1 1 1 1 0 0 1 F9H 0 0 0 0 0 1 1 0 06H2 1 0 1 0 0 1 0 0 A4H 0 1 0 1 1 0 1 1 5BH3 1 0 1 1 0 0 0 0 B0H 0 1 0 0 1 1 1 1 4FH4 1 0 0 1 1 0 0 1 99H 0 1 1 0 0 1 1 0 66H5 1 0 0 1 0 0 1 0 92H 0 1 1 0 1 1 0 1 6DH6 1 0 0 0 0 0 1 0 82H 0 1 1 1 1 1 0 1 7DH7 1 1 1 1 1 0 0 0 F8H 0 0 0 0 0 1 1 1 07H8 1 0 0 0 0 0 0 0 80H 0 1 1 1 1 1 1 1 7FH 9 1 0 0 1 0 0 0 0 90H 0 1 1 0 1 1 1 1 6FH 注 : N个 LED显 示 块 有 N位 位 选 线 和 8 N根 段 码 线 。 图 是 4位 LED显 示 器 的 结 构 原 理 图 。 段 码 线 控 制 显 示 的 字 型位 选 线 控 制 该 显 示 位 的 亮 或 暗 。3、LED显示器工作原理静 态 显 示 和 动 态 显 示 两 种 显 示 方 式 。 (1). 静 态 显 示l 静 态 显 示 就 是 显 示 驱 动 电 路 具 有 输 出 锁 存 功 能 , 单 片 机 将 所 要 显 示 的 数 据 送出 去 后 , 数 码 管 始 终 显 示 该 数 据 (不 变 ), CPU不 再 控 制 LED。 到 下 一 次 显 示时 , 再 传 送 一 次 新 的 显 示 数 据 。l 静 态 显 示 的 接 口 电 路 采 用 一 个 并 行 口 接 一 个 数 码 管 , 数 码 管 的 公 共 端 按 共 阴极 或 共 阳 极 分 别 接 地 或 接 VCC。 这 种 接 法 , 每 个 数 码 管 都 要 单 独 占 用 一 个 并行 I/O口 , 以 便 单 片 机 传 送 字 形 码 到 数 码 管 控 制 数 码 管 的 显 示 。 显 然 其 缺 点就 是 当 显 示 位 数 多 时 , 占 用 I/O口 过 多 。l 为 了 解 决 静 态 显 示 I/O口 占 用 过 多 的 问 题 , 可 采 用 串 行 接 口 扩 展 LED数 码 管 的技 术 。l 静 态 显 示 方 式 的 优 点 是 显 示 的 数 据 稳 定 , 无 闪 烁 , 占 用 CPU时 间 少 。 其 缺 点是 由 于 数 码 管 始 终 发 光 , 功 耗 比 较 大 。 静 态 显 示 方 式各 位 的 公 共 端 连 接 在 一 起 ( 接 地 或 +5V) 。 【 例 】 片 内 RAM的 23H单 元 存 放 有 二 进 制 数 表 示 的 温 度 测 量 结 果 ( 100), 要 求通 过 两 位 LED数 码 管 显 示 出 相 应 的 十 进 制 数 , 并 且 每 隔 1s要 更 新 一 次 显 示 数 据 。 ORG 0000H ;程 序 开 始 LJMP MAIN ;转 主 程 序 ORG 0100H ;存 放 主 程 序MAIN: MOV A, 23H ;取 二 进 制 数 MOV B, #0AH ;10送 B寄 存 器 DIV AB ;原 数 除 以 10 MOV 20H, A ;十 位 数 送 20H MOV 21H, B ;个 位 数 送 21H MOV DPTR, #TAB ;显 示 代 码 首 地 址 MOV A, 20H ;取 十 位 数 MOVC A, A+DPTR ;查 十 位 显 示 代 码 MOV P2, A ;显 示 十 位 MOV A, 21H ;取 个 位 数 MOVC A, A+DPTR ;查 个 位 显 示 代 码 MOV P1, A ;显 示 个 位 LCALL DEL1S ;调 用 延 时 子 程 序 AJMP MAIN ;重 复 显 示 (新 )DEL1S: MOV R5, #08H ;延 时 子 程 序D1: MOV R6, #0FFH D2: MOV R7, #0FFHD3: DJNZ R7, D3 DJNZ R6, D2 DJNZ R5, D1 RET ;延 时 子 程 序 返 回TAB: DB 0C0H,0F9H, 0A4H ;定 义 显 示 段 码 DB 0B0H,99H,92H DB 82H,0F8H,80H,90H END ;程 序 结 束 练 习 :用 两 个 数 码 管 显 示 0 99 (2)动 态 显 示l 动 态 扫 描 方 法 是 用 其 接 口 电 路 把 所 有 数 码 管 的 8个 笔 划 段 a g和 dp同 名 端 连在 一 起 ,而 每 一 个 数 码 管 的 公 共 极 COM各 自 独 立 地 受 I/O线 控 制 。 CPU向 字 段 输出 口 送 出 字 形 码 时 , 所 有 数 码 管 接 收 到 相 同 的 字 形 码 。 但 究 竟 是 哪 个 数 码 管亮 , 则 取 决 于 COM端 , COM端 与 单 片 机 的 I/O口 相 连 接 , 由 单 片 机 输 出 位 码 到I/O控 制 何 时 哪 一 位 数 码 管 亮 。l 动 态 扫 描 用 分 时 的 方 法 轮 流 控 制 各 个 数 码 管 的 COM端 , 使 各 个 数 码 管 轮 流 点亮 。 在 轮 流 点 亮 数 码 管 的 扫 描 过 程 中 , 每 位 数 码 管 的 点 亮 时 间 极 为 短 暂 。 但由 于 人 的 视 觉 暂 留 现 象 及 发 光 二 极 管 的 余 辉 , 给 人 的 印 象 就 是 一 组 稳 定 的 显示 数 据 。l 优 点 : 当 显 示 位 数 较 多 时 , 采 用 动 态 显 示 方 式 比 较 节 省 I/O口 , 硬 件 电 路 也较 静 态 显 示 简 单 。 l 缺 点 : 其 稳 定 度 不 如 静 态 显 示 方 式 。 而 且 在 显 示 位 数 较 多 时 CPU要 轮 番 扫 描 ,占 用 CPU较 多 的 时 间 。 动 态 显 示 方 式 只 要 扫 描 信 号 的 频 率 足 够 快 , 加 上LED数 码 显 示 器 发 光 的 余 晖 效 应 和 人 的 视觉 暂 留 现 象 , 人 们 感 觉 到 的 好 像 是 各 位同 时 显 示 的 效 果 , 而 无 闪 烁 现 象 。 一 般 每 个 LED数 码 显 示 器 的 显 示 时 间为 1 5ms。 【 例 】 片 内 RAM的 30H单 元 存 放 有 二 进 制 数 表 示 的 温 度 测 量 结 果 ( 100), 要 求通 过 两 位 LED数 码 管 显 示 出 相 应 的 十 进 制 数 。 采 用 动 态 扫 描 显 示 方 式P1口 输 出 显 示 代 码 ,P2口 的 P2.0 P2.1输 出 2位 显 示 扫 描 信 号 。 Second EQU 30H ;30H存放要显示的数ORG 00HSTART: MOV Second,#45NEXT: MOV A,SecondMOV B,#10DIV AB ;A为十位,B为个位DISP: MOV P2,#0FEHMOV DPTR,#TABLEMOVC A,A+DPTRMOV P0,A ;先显示十位 LCALL DELAY MOV P2,#0FDH MOV A,B MOV DPTR,#TABLEMOVC A,A+DPTR MOV P0,A ;后显示个位 LCALL DELAY ;延时 LJMP STARTDELAY: MOV R5,#100D2: MOV R6,#125DJNZ R6,$DJNZ R5,D2RETTABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND参 考 程 序 : 二 、 键 盘【 例 】 发 光 二 极 管 点 亮控 制 电 路 , 如 图 , 在80C51的 P0口 低 4位 接 有 4个 常 开 的 按 键 开 关 S1、 S2、S3、 S4, 在 P0口 的 高 4位接 有 4个 发 光 二 极 管 D6、D7、 D8、 D9, 现 要 求 当 某个 按 键 被 按 下 一 次 时 , 对应 的 发 光 二 极 管 被 点 亮 1s。 键 盘 的 分 类 键 盘 分 编 码 键 盘 和 非 编 码 键 盘 。 编 码 键 盘 : 键 盘 上 闭 合 键 的 识 别 由 专 用 的 硬 件 编 码 器 实 现 , 并 产 生 键 编 码 号 或 键 值 。 如 BCD码 键 盘 、 ASCLL码 键 盘 、 计 算 机 键 盘 等 。 非 编 码 键 盘 : 靠 软 件 来 识 别 在 单 片 机 组 成 的 测 控 系 统 及 智 能 化 仪 器 中 , 用 的 最 多 的 是 非 编 码 键 盘 。1、 了 解 键 盘 2、 键 盘 单 片 机 应 用 系 统 中 的 按 键 通 常 就 是 一 个 常 开 的 按 动 开 关 , 当 键 被 按 下时 开 关 闭 合 , 松 开 后 开 关 断 开 , 利 用 机 械 触 点 完 成 电 路 的 合 、 断 功 能 。 在 CPU检 测 到 有 键 按 下 后 , 并 不 立 即 确 认该 键 按 下 有 效 , 而 是 先 执 行 一 个 10ms左右 的 延 时 程 序 , 然 后 再 次 检 测 该 键 电 平是 否 仍 保 持 闭 合 状 态 电 平 , 若 仍 保 持 为闭 合 状 态 电 平 , 则 确 认 该 键 处 于 闭 合 状态 , 是 一 次 有 效 的 按 键 , 从 而 消 除 了 抖动 影 响 。按 键 在 闭 合 和 断 开 时 , 触 点 会 存 在 抖 动 现 象 : 硬件消除抖动软 3、 键 盘 接 口 的 工 作 原 理独 立 式 键 盘 接 口 各 键 相 互 独 立 , 每 个 按 键 各 接 一 根 输 入 线 , 通 过 检测 输 入 线 的 电 平 状 态 可 很 容 易 判 断 那 个 键 被 按 下 。此 种 接 口 适 于 键 数 较 少 或 操 作 速 度 较 高 的 场 合 。独 立 式 按 键 的 结 构 独 立 式 按 键 的 键 处 理 程 序JAN1: JB P1.0 JAN1 ;P1.0=1键 未 按 下 ,继 续 查 询 ACALL DELY10MS ;P1.0=0键 被 按 下 ,延 时 消 抖 JB P1.0 JAN1 ;P1.0是 否 仍 为 低 电 平 ,否 则 重 新 查 询ANJ: JNB P1.0 ANJ ;按 键 有 效 ,等 待 键 释 放 ACALL JAN1_PRG ;调 用 键 功 能 程 序 SJMP JAN1 ;返 回 继 续 查 询 练 习 : 记 录 按 键 的 次 数 练 习 : 信 号 灯 控 制 电 路 。 功 能 是 当 按 下 不 同 的 键 时 发 光 二 极 管 有 不 同 的 亮 灭 规 律 。按 1号 键 LED从 上 到 下 依 次 亮 , 按 2号 键 从 下 到 上 依 次 亮 , 按 3号 键 闪 烁 , 按 4号 键 呈 流 水 追 逐 效 果 。 12 34 信号灯控制程序设计流程图 用 于 按 键 数 目 较 多 的 场 合 , 由 行 线 和 列 线 组 成 , 按 键 位 于 行 、 列 的 交 叉点 上 。 按 键 数 目 较 多 的 场 合 , 行 列 式 键 盘 与 独 立 式 键 盘 相 比 , 要 节 省 很 多 的 I/O口 线 。 行 列 式 键 盘 接 口 行 列 式 键 盘 按 键 的 识 别 方 法行 列 式 键 盘 工 作 原 理 无 键 按 下 , 该 行 线 为 高 电 平 , 当 有 键 按 下 时 , 行 线 电 平 由 列 线 的电 平 来 决 定 。 由 于 行 、 列 线 为 多 键 共 用 , 各 按 键 彼 此 将 相 互 发生 影 响 , 必 须 将 行 、 列 线 信 号 配 合 起 来 并 作 适 当 的 处理 , 才 能 确 定 闭 合 键 的 位 置 。扫 描 法 线 反 转 法 a. 扫 描 法第 1步 : 识 别 键 盘 有 无 键 按 下 ;第 2步 : 如 有 键 被 按 下 , 识 别 出 具 体 的 按 键 。 把 所 有 列 线 置 0, 检 查 各 行 线 电 平 是 否 有 变 化 , 如 有 不 全 高 电 平 , 说明 有 键 按 下 , 否 则 无 键 按 下 。 把 某 一 列 置 低 电 平 , 其 余 各 列 为 高 电 平 , 检 查 各 行 线 电 平 的 变 化 ,如 果 某 行 线 电 平 为 低 , 可 确 定 此 行 列 交 叉 点 处 的 按 键 被 按 下 。缺 点 : 多 次 扫 描 才 能 获 得 按 键 所 处 的 行 列 值 第 1步 : 列 线 输 出 为 全 低 电 平 , 则 行 线 中 电平 由 高 变 低 ( 或 由 低 变 高 ) 的 所 在 行 为 按键 所 在 行 。第 2步 : 行 线 输 出 为 全 低 电 平 , 则 列 线 中 电平 由 高 变 低 ( 或 由 低 变 高 ) 所 在 列 为 按 键所 在 列 。结 合 上 述 两 步 , 可 确 定 按 键 所 在 行 和 列 。 b. 线 反 转 法 编 程 说 明 : 在 单 片 机 应 用 系 统 中 , 键 盘 扫 描 只 是 系 统 的 部 分 程 序 。 进 行 软 件 系 统 编 程 时 ,一 般 作 为 子 程 序 调 用 或 中 断 服 务 程 序 使 用 。 该 子 程 序 入 口 参 数 为 无 , 出 口 参数 为 键 码 值 , 一 般 存 于 A。 因 此 , 其 调 用 十 分 简 单 , 但 一 定 要 注 意 返 回 的 键码 值 所 对 应 的 键 在 键 盘 的 哪 个 位 置 , 即 要 掌 握 键 码 分 配 表 。 矩 阵 式 键 盘 尽 管 比 独 立 式 键 盘 复 杂 。 但 有 了 上 述 子 程 序 后 , 只 要 学 会 调 用 ,你 甚 至 不 需 要 知 道 键 盘 扫 描 程 序 是 如 何 编 写 的 , COPY即 可 , 编 程 也 就 变 得 十分 简 单 了 。 从 这 可 以 看 出 平 时 注 意 查 阅 资 料 , 收 集 实 用 子 程 序 , 掌 握 子 程 序的 调 用 , 对 提 高 编 程 效 率 是 多 么 重 要 。 原 则 : 即 要 保 证 能 及 时 响 应 按 键 操 作 , 又 不 要 过 多 占 用 CPU的 工 作 时 间 。 通 常 , 键 盘 工 作 方 式 有 3种 , 即 编 程 扫 描 、 定 时 扫 描 和 中 断 扫 描 。4、 键 盘 的 工 作 方 式 ( 1) 编 程 扫 描 方 式 也 称 查 询 方 式 。 只 有 当 单 片 机 空 闲 时 , 才 调 用 键 盘 扫 描 子 程 序 , 反 复 扫 描 键 盘 。 如 果 单 片 机 的 查 询 频 率 过 高 , 虽 能 及 时 响 应 键 盘 的 输 入 , 但 也 会 影 响 其 他 任 务 的 进 行 ;查 询 频 率 过 低 , 可 能 会 键 盘 输 入 漏 判 。 因 此 要 根 据 单 片 机 系 统 的 繁 忙 程 度 和 键 盘 的 操 作 频 率 , 来 调 整 键 盘 扫 描 的 频 率 。( 2) 定 时 扫 描 工 作 方 式 利 用 单 片 机 内 的 定 时 器 , 产 生 10ms的 定 时 中 断 , 对 键 盘 进 行 扫 描 。( 3) 中 断 工 作 方 式 只 有 在 键 盘 有 键 按 下 时 , 发 出 中 断 申 请 , 单 片 机 响 应 中 断 , 执 行 键 盘 扫 描 程 序 , 如无 键 按 下 , 单 片 机 将 不 理 睬 键 盘 。 此 种 工 作 方 式 实 时 性 强 , 工 作 效 率 高 。 键 盘 所 做 的 工 作 分 为 三 个 层 次 单 片 机 如 何 来 监 视 键 盘 的 输 入 。 三 种 工 作 方 式 : 编 程扫 描 定 时 扫 描 中 断 扫 描 。确 定 具 体 按 键 的 键 号 。 体 现 在 按 键 的 识 别 方法 上 就 是 : 扫 描 法 ; 线 反 转 法 。执 行 键 处 理 程 序 。 三 、 键 盘 /显 示 器 接 口 设 计 实 例利 用 串 行 口 实 现 键 盘 /显 示 器 接 口利 用 专 用 键 盘 /显 示 器 接 口 芯 片 实 现 键 盘 /显 示 器 接 口 1、 利 用 AT89C51的 串 行 口 实 现 键 盘 /显 示 器 接 口 优 点 : 亮 度 大 , 容 易 做 到 显 示 不 闪 烁 , 且 CPU不 必 频 繁 的 为 显 示 服 务 , 从 而 使 单 片 机 有 更 多 的 时 间处 理 其 它 事 务 。 显 示 子 程 序 :DIR: SETB P3.3 ; P3.3=1, 允 许 TXD引 脚 同 步 移 位 脉 冲 输 出 MOV R7, 08H ; 送 出 的 段 码 个 数 , R7为 段 码 个 数 计 数 器 MOV R0, 7FH ; 7FH 78H为 显 示 数 据 缓 冲 区DL0: MOV A,R0 ; 取 出 要 显 示 的 数 送 A MOV DPTR,#SEGTAB MOVC A,A DPTR ; 查 段 码 表 SEGTAG, 取 出 段 码 MOV SBUF ,A ; 将 段 码 送 SBUFDL1: JNB TI,DL1 ; 输 出 段 码 , 查 询 TI状 态 , 1个 字 节 的 段 码 输 出 完 否 ? CLR TI ; 1个 字 节 的 段 码 输 出 完 , 清 TI标 志 DEC R0 ; 指 向 下 一 个 显 示 数 据 单 元 DJNZ R7,DL0 ; 段 码 个 数 计 数 器 R7是 否 为 0, 如 不 为 0, 继 续 送 段 码 CLR P3.3 ; 8个 段 码 输 出 完 毕 , 关 闭 显 示 器 输 出 RET ; 返 回SEGTAB: DB 0C0H,0F9H,0A4H,0B0H,99H ; 共 阳 极 段 码 表 , 0,1,2,3,4 DB 92H,82H,0F8H,90H ; 5,6,7,8,9 DB 88H,83H,0C6H,0A1H,86H ; A,B,C,D,E DB 8FH,0BFH,8CH,0FFH,0FFH ; F, ,P, 暗 KEYI: MOV A, 00H ; 判 断 有 无 键 按 下 , 所 有 列 线 为 0的 编 码 送 A MOV SBUF,A ; 扫 描 键 盘 的 ( 8) 号 74LS164输 出 为 00H, ; 使 所 有 列 线 为 0KL0: JNB TI,KL0 ; 串 行 输 出 完 否 ? CLR TI ; 串 行 输 出 完 毕 , 清 TIKL1: JNB P3.4,PK1 ; 第 一 行 有 闭 合 键 吗 ? 如 有 , 跳 PK1进 行 处 理 JB P3.5,KL1 ; 在 第 二 行 键 中 有 闭 合 键 吗 ? 无 闭 合 键 跳 KL1PK1: ACALL DL10 ; 调 用 延 时 10ms子 程 序 DL10, 软 件 消 除 抖 动 JNB P3.4,PK2 ; 判 断 是 否 由 抖 动 引 起 ? JB P3.5,KL1PK2: MOV R7, 08H ; 不 是 抖 动 引 起 的 MOV R6, 0FEH ; 判 别 是 哪 一 个 键 按 下 , FEH为 最 左 一 列 为 低 MOV R3, 00H ; R3为 列 号 寄 存 器MOV A,R6KL5: MOV SBUF,A ; 列 扫 描 码 从 串 行 口 输 出键 盘 扫 描 子 程 序 ( 扫 描 法 ) KL2: JNB TI,KL2 ; 等 待 串 行 口 发 送 完 CLR TI ; 串 行 口 发 送 完 毕 , 清 TI标 志 JNB P3.4,PKONE ; 读 第 一 行 线 状 态 , 第 一 行 有 键 闭 合 , 跳 PKONE JB P3.5,NEXT ; 读 第 二 行 线 状 态 , 是 第 二 行 某 键 否 ? MOV R4, 08H ; 第 二 行 键 中 有 键 被 按 下 , 行 首 键 号 08H送 R4 AJMP PK3PKONE: MOV R4, 00H ; 第 一 行 键 中 有 键 按 下 , 行 首 键 号 00H送 R4PK3: MOV SBUF, 00H ; 等 待 键 释 放 , 发 送 00H使 所 有 列 线 为 低KL3: JNB TI,KL3 CLR TI ; 发 送 完 毕 , 清 标 志KL4: JNB P3.4,KL4 ; 判 行 线 状 态 JNB P3.5 MOV A,R4 ; 两 行 线 均 为 高 , 说 明 键 已 释 放 ADD A,R3 ; 计 算 得 键 码 A RETNEXT: MOV A,R6 ; 列 扫 描 码 左 移 一 位 , 判 下 一 列 键 是 否 按 下 RL A MOV R6,A ; 记 住 列 扫 描 码 于 R6中 INC R3 ; 列 号 增 1 DJNZ R7,KL5 ; 列 计 数 器 R7减 1, 8列 键 都 检 查 完 否 ? AJMP KEYI ; 8列 键 扫 描 完 毕 , 开 始 下 一 个 键 盘 扫 描 周 期DL10: MOV R7, 0AH ; 延 时 10ms子 程 序DL: MOV R6, 0FFHDL6: DJNZ R6,DL6 DJNZ R7,DL RET 3、 利 用 通 用 键 盘 /显 示 器 接 口 芯 片 HD7279A实 现 键 盘 /显 示 器 接 口键 盘 /显 示 器 接 口 芯 片用 专 用 芯 片 , 可 省 去 编 写 键 盘 /显 示 器 动 态 扫 描 程 序 以 及 键 盘 去 抖 动 程 序 编写 的 繁 琐 工 作 。目 前 流 行 的 键 盘 /显 示 器 接 口 芯 片 均 采 用 串 行 通 信 方 式 , 占 用 口 线 少 。常 见 的 键 盘 /显 示 器 接 口 芯 片 有 : ZLG7289A、 ZLG7290B、 MAX7219、 CH451、BC7281和 HD7279等 HD7279特 点 : 28引 脚 双 列 直 插 式 封 装 ( PID)单 一 的 +5V供 电HD7279引 脚 : HD7279引 脚 说 明 :引 脚 名 称 说 明1, 2 VDD 正 电 源 ( +5V)3, 5 NC 悬 空4 VSS 接 地6 CS 片 选 信 号7 CLK 同 步 时 钟 输 入 端 ( 上 升 沿 时 将 数 据 写 入 HD7279或 从 HD7279读 出 数 据 )8 DATA 串 行 数 据 写 入 /读 出 端 ( 单 片 机 向 HD7279发 送 数 据 、 从 Hd7279读 入 键 值 )9 KEY 按 键 有 效 输 出 端 ( 无 按 键 时 高 电 平 , 有 按 键 时 低 电 平 , 并 一 直 保 持 到 按 键 释 放 为 止 )10 16 SG SA LED的 g a段 驱 动 输 出17 DP 小 数 点 驱 动 输 出 端 18 25 DIG0 DIG7 LED位 驱 动 输 出 端26 CLKO 振 荡 输 出 端27 RC RC振 荡 器 连 接 端 ( 外 接 振 荡 元 件 )28 RESET 复 位 端 ( 可 外 接 复 位 电 路 或 直 接 由 单 片 机 控 制 ) HD7279的 控 制 命 令 :6条 纯 命 令 、 7条 带 数 据 命 令 、 1条 读 键 盘 命 令6条 纯 命 令 : 7条 带 数 据 命 令 :均 有 双 字 节 组 成 , 第 1个 字 节 为 命 令 标 志 码 ( 还 有 位 地 址 ) , 第 2个 字 节 为 显 示 内容 注:可在指定位上显示字符 1条 读 键 盘 命 令 :注 : 若 无 按 键 按 下 , 按 键 值 为 0 xFFH 时序 单片机与HD7279A硬件电路图 编 程 实 现 : 当 有 按 键 按 下 时 , 单 片 机 读 取 该 按 键 代 码 并 将 其 显 示 在LED上 四 、 LCD1、 LCD显 示 器 分 类 笔 段 型 。 笔 段 型 LCD是 以 长 条 状 显 示 像 素 组 成 一 位 显 示 。 在 形 状 上 总 是 围 绕 数 字 “ 8”的 结构 变 化 , 广 泛 用 于 电 子 表 、 数 字 仪 表 中 。字 符 型 。 字 符 型 液 晶 显 示 模 块 是 专 门 用 来 显 示 字 母 、 数 字 、 符 号 等 的 点 阵 型 液 晶 显 示 模 块 。在 电 极 图 形 设 计 上 它 是 由 若 干 个 5 8或 5 11点 阵 组 成 , 每 一 个 点 阵 显 示 一 个 字 符 。 这类 模 块 广 泛 应 用 于 寻 呼 机 、 手 机 、 电 子 记 事 本 等 类 电 子 设 备 中 。点 阵 图 形 型 。 点 阵 图 形 型 是 在 一 平 板 上 排 列 多 行 和 多 列 , 形 成 矩 阵 形 式 的 晶 格 点 , 点 的 大 小可 根 据 显 示 的 清 晰 度 来 设 计 。 这 类 液 晶 显 示 器 可 广 泛 用 于 图 形 显 示 如 游 戏 机 、 笔 记 本 电 脑 和彩 色 电 视 等 设 备 中 。 点 阵 字 符 型 LCD显 示 器 , 需 相 应 的 LCD控 制 器 、 驱 动 器 , 来 对 LCD显 示 器 进 行扫 描 、 驱 动 , 以 及 一 定 空 间 的 RAM和 ROM来 存 储 写 入 的 命 令 和 显 示 字 符 的 点 阵 。已 将 上 述 元 部 件 和 LCD显 示 器 用 PCB连 接 到 一 起 , 称 为 液 晶 显 示 模 块 LCM(LCD Module)。只 向 LCM送 入 相 应 的 命 令 和 数 据 就 可 实 现 所 需 要 的 显 示 内 容 , 接 口 简 单 , 灵活 方 便 。 分 字 符 和 图 形 两 种 。 驱 动 器控 制 器 2、 点 阵 字 符 型 液 晶 显 示 模 块 控 制 器 HD44780( 1) 部 分 引 脚 介 绍 ( 2) 控 制 器 HD44780内 寄 存 器RS R/W 操 作0 0 命 令 寄 存 器 写 入0 1 忙 标 志 和 地 址 计 数 器 读 出1 0 数 据 寄 存 器 写 入1 1 数 据 寄 存 器 读 出寄 存 器 的 选 择 DDRAM就 是 显 示 数 据 RAM, 用 来 寄 存 待 显 示 的 字 符 代 码 显 示 位 与 DDRAM地 址 的 对 应 关 系例 : 想 要 在 屏 幕 的 第 一 行 第 一 列 显 示 一 个 A字 ,就 要 向 DDRAM的 00H地 址写 入 “ A”字 的 代 码 就 行 了 。 补 充 : DDRAM、 CGROM、 CGRAM LCD模 块 上 也 固 化 了 字 模 存 储 器 , 这 就 是 CGROM和 CGRAM。HD44780内 置 了 192个 常 用 字 符 的 字 模 , 存 于 字 符 产 生 器 CGROM(Character Generator ROM)中 。另 外 还 有 8个 允 许 用 户 自 定 义 的 字 符 产 生 RAM, 称 为 CGRAM(Character Generator RAM)。字 模 代 表 了 是 在 点 阵 屏 幕 上 点 亮 和 熄 灭 的 信 息 数 据 。 例 如 “ A” 标 准 字 符 库 命 令 功 能功 能 :清 除 屏 幕 显 示 , 并 给 地 址 计 数 器 AC置 “ 0”。 功 能 :置 DDRAM( 显 示 数 据 RAM) 及 显 示 RAM的 地 址 为 “ 0”, 显 示 返 回 到 原 始 位 置 。 功 能 :设 置 光 标 的 移 动 方 向 , 并 指 定 整 体 显 示 是 否 移 动 。 其 中 : I/D = 1, 为 增 量 方 式 ; I/D = 0, 为 减 量 方 式 。 S = 1, 整 体 显 示 移 位 ; 如 S = 0, 表 示 不 移 位 。 3、 AT89C51与 LCD的 接 口 软 件 编 程(1)初 始 化 单 片 机 开 始 运 行 时 必 须 先 对 LCD模 块 进 行 初 始 化 , 否 则 模 块 无 法 正 常 显 示 。 下 面 介绍 两 种 初 始 化 方 法 。 利 用 模 块 内 部 的 复 位 电 路 进 行 初 始 化 。 软 件 初 始 化 利 用 模 块 内 部 的 复 位 电 路 进 行 初 始 化 。LCM有 内 部 复 位 电 路 , 能 进 行 上 电 复 位 。 复 位 期 间 BF = 1, 在 电 源 电 压 VDD达 4.5V以 后 , 此 状 态 可 维 持 10ms, 复 位 时 执 行 下 列 命 令 。 清 除 显 示 。 功 能 设 置 , DL = 1为 8位 数 据 长 度 接 口 ; N = 0单 行 显 示 ; F = 0为 5 7点 阵 字 符 。 开 /关 设 置 , D = 0关 显 示 ; C = 0关 光 标 ; B = 0关 闪 烁 功 能 。 进 入 方 式 设 置 , I/D = 1地 址 采 用 递 增 方 式 ; S = 0关 显 示 移 位 功 能 软 件 初 始 化 。 ( 2) 显 示 程 序例 10-1 编 写 程 序 在 LCD第 一 行 显 示 “ CS读入P1的状态ANL A,0FH ;屏蔽p1.4p1.7MOV 40H,A ;将BCD码拨盘的值存入40H单元中 多 片 BCD码 拨 盘 与 单 片 机 的 接 口 例 如 选 中 千 位 时 , P1.7置0,P1.4 P1.6置 1, 此 时 四个 与 非 门 所 有 其 它 位 连 接的 输 入 端 均 为 1状 态 , 因 此四 个 与 非 门 输 出 的 状 态 取决 于 千 位 数 BCD拨 盘 输 出 状态 。 由 于 该 位 的 控 制 端 置 0,因 此 ,拨 盘 所 置 之 数 输 出 为BCD反 码 , 通 过 与 非 门 输 出为 该 千 位 数 的 BCD码 本 程 序 将 读 入 的 4位 BCD码 按 千 、 百 、 十 、 个 依 次 存 放 在 片 内 RAM的 30H 33H单 元 ,每 个 单 元 的 高 4位 为 0,低 4位 为 BCD码 。 程 序 如 下 :RDS: MOV R0, 30H ; 初 始 化 ,存 放 单 元 首 址MOV R2, 7FH ;P1口 高 4位 置 控 制 字 及 低 4位 置 输 入 方 式MOV R3, 04H ; 读 入 4个 BCD码LOOP: MOV A,R2MOV P1,A ; P1口 送 控 制 字 及 低 4位 置 输 入 方 式MOV A,P1 ; 读 入 BCD码ANL A, 0FH ; 屏 蔽 高 4位MOV R0,A ; 送 入 存 储 单 元INC R0 ; 指 向 下 个 存 储 单 元MOV A,R2 ; 准 备 下 一 片 拨 盘 的 控 制 端 置 0RR A ;MOV R2,A ;DJNZ R3,LOOP ; 未 读 完 返 回RET ; 读 完 结 束 Q & A?Thanks! l LED数 码 管 的 a g七 个 发 光 二 极 管 。 不 同 亮 暗 的 组 合 就 能 形 成 不 同 的 字 型 , 这 种组 合 称 为 字 型 码 。 共 阳 极 和 共 阴 极 的 字 型 码 是 不 同 的 。l 可 采 用 硬 件 译 码 输 出 字 型 码 控 制 显 示 内 容 , 如 采 用 74LS48、 CD4511(共 阴 极 )或74LS46(74LS47)、 CD4513(共 阳 极 )。 也 可 用 单 片 机 I/O口 直 接 输 出 字 型 码 控 制 数码 管 的 显 示 内 容 。 2、LED数码显示器的显示段码 动 态 显 示 方 式 练 习 : 记 录 按 键 的 次 数 键 盘 所 做 的 工 作 分 为 三 个 层 次 单 片 机 如 何 来 监 视 键 盘 的 输 入 。 三 种 工 作 方 式 : 编 程扫 描 定 时 扫 描 中 断 扫 描 。确 定 具 体 按 键 的 键 号 。 体 现 在 按 键 的 识 别 方法 上 就 是 : 扫 描 法 ; 线 反 转 法 。执 行 键 处 理 程 序 。 标 准 字 符 库 利 用 模 块 内 部 的 复 位 电 路 进 行 初 始 化 。LCM有 内 部 复 位 电 路 , 能 进 行 上 电 复 位 。 复 位 期 间 BF = 1, 在 电 源 电 压 VDD达 4.5V以 后 , 此 状 态 可 维 持 10ms, 复 位 时 执 行 下 列 命 令 。 清 除 显 示 。 功 能 设 置 , DL = 1为 8位 数 据 长 度 接 口 ; N = 0单 行 显 示 ; F = 0为 5 7点 阵 字 符 。 开 /关 设 置 , D = 0关 显 示 ; C = 0关 光 标 ; B = 0关 闪 烁 功 能 。 进 入 方 式 设 置 , I/D = 1地 址 采 用 递 增 方 式 ; S = 0关 显 示 移 位 功 能
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!