Matlab Simulink和EDA仿真工具

上传人:紫** 文档编号:242865680 上传时间:2024-09-10 格式:PPT 页数:89 大小:2MB
返回 下载 相关 举报
Matlab Simulink和EDA仿真工具_第1页
第1页 / 共89页
Matlab Simulink和EDA仿真工具_第2页
第2页 / 共89页
Matlab Simulink和EDA仿真工具_第3页
第3页 / 共89页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,Matlab/Simulink,和,EDA,仿真工具,ModelSim,Links,Mentor Graphics,Simulators,ModelSim,Cadence,HDL,simulator,Incisive,仿真,功能仿真(前仿真),验证电路功能是否符合设计要求,不考虑电路的门延迟,综合后仿真,验证电路结构是否符合设计要求,估算电路的门延迟, 不考虑布线的延迟,布局布线后仿真(时序仿真),验证是否存在时序违规。电路已经映射到具体的工艺环境,综合考虑电路的门延迟和路径延迟,设置断点,单步运行,查看当前信号值,从仿真波形回溯源代码,ModelSim,仿真,ModelSim,的安装,运行,setup,,安装程序。选择“,Full product”,选项。当询问,security key,的时候,选择,NO,。 当看见“,License Wizard”,对话框时候,选择“,close”,。,运行,keygen,,生成,license.dat,把它拷贝到新建的,c:flexlm,目录中。,设置环境变量,: LM_LICENSE_FILE = c:flexlmlicense.dat,(选择“我的电脑” 按右键,选“属性”,再选“高级”,可以看到环境变量按钮),运行,ModelSim,,,OK,ModelSim,版本,ModelSim AE,Altera OEM,版,功能有限,速度较慢。有厂家仿真库,ModelSim PE,ModelSim SE,专业版, 性能最强,。,Altera,和,ModelSim,ModelSim,的版本,ModelSim AE,要有,License,ModelSim AE Free,发行速度跟不上,SE,版和,Quartus,的速度,ModelSim SE,不带,FPGA/CPLD,厂家的仿真库,ModelSim,版本,如用于,Matlab/Simulink,协同仿真,选用,ModelSim,6.16.3,如选用,ModelSim AE,可以用,相应,ModelSim,Se,的,License.,例如,:,最新版本的,ModelSim AE,6.4,可以使用,ModelSim,Se,6.4,的,License,Altera,的仿真库,仿真库,IP Core,仿真库,如果设计中包含了,MegaWizard,生成的,IP Core,或直接调用了,LPM,, 则必须制定,Altera,仿真库,器件族仿真库,Altera,仿真库,为,ModelSim SE,添加,Altera,的仿真库三种方法,从,ModelSim AE,版中复制,Altera,的仿真库,1,安装,ModelSim AE,版,2,在,ModelSim AE,的安装目录下的,Altera,目录就是所要的仿真库,Altera,仿真库,2,从,Altera,官方网站下载预编译的仿真库, altera_mf_components.vhd,再,选择编译余下的,6,个文件,Altera,仿真库,-,从,Quartus,中提取,还可以再编译其他器件系列的库,修改,ModelSim,安装目录下的,ModelSim.ini,文件只读属性为可写,以便记录仿真库的路径及映射关系,ModelSim,用户界面,库文件视图,命令控制台,ModelSim,设计加载前的提示符,VSIM,设计加载后的提示符,ModelSim,基本仿真步骤,建立库,vlib,缺省值是,work,工作库,映射库到物理目录,vmap, ,例,vmap work work,编译源代码,vcom,.vhd,.vhd,vlog,.v,.v,启动仿真器,vsim,top_entity,添加信号到,Wave,窗,add wave /*,添加激励,运行仿真,force,run, ,在,Matlab/Simulink,环境中仿真,HDL,DSP Builder,SIL(Quartus),用,DSP Builder,建模,生成,HDL,,仿真,用,DSP Builder,将,HDL,导入,仿真,HIL(Quartus+JTAG+,实验板,),ModelSim,利用,hdl coder,生成的批命令和测试平台,然后用,Modelsim,进行单独仿真,Matlab/Simulink,和,Modelsim,协同仿真,Matlab/Simulink ModelSim,仿真,Matlab Link ModelSim,MATLAB,连接,HDL,仿真器,MATLAB test bench function wraps around and communicates with the HDL simulator during a test bench simulation session.,MATLAB,连接,HDL,仿真器,连接,ModelSim,流程例,modsimrand.m,Matlab,启动,server in shared memory mode,:,hdldaemon,ModelSim,仿真,matlabtb modsimrand -mfunc modsimrand_plot -rising /modsimrand/clk -socket portnum,modsimrand_plot.m,function iport,tnext =,modsimrand_plot(oport, tnow, portinfo),仿真,仿真结果可视化,用,ModelSim,仿真例,仿真数据来自,ModelSim,由,Matlab,绘图,MATLAB,连接,ModelSim,流程例,建立连接通道,MATLAB,hdldaemon(socket,端口号,),in TCP/IP socket mode,端口号,,0,表示由系统分配可用端口,或,2 Hdldaemon,in,shared memory,mode,MATLAB,连接,ModelSim,流程例,2,从,MATLAB,环境启动,ModelSim,vsim,或,vsim(vsimdir,D:Modeltech_6.1fwin32),ModelSim exe,具体安装路径,MATLAB,连接,ModelSim,流程例,3,设置,MATLAB,当前目录,例,cd,D:MATLABtoolboxedalinkextensionsmodelsimmodelsimdemos,该目录下有,modsimrand_plot.m,文件,设置,MODELSIM,当前目录,例,cd D:MATLABtoolboxedalinkextensionsmodelsimmodelsimdemosvhdlmodsimrand,该目录下有,VHDL,文件,MATLAB,连接,ModelSim,流程例,4,执行,ModelSim,命令,vlib work,创建工作库,vmap work work,映射工作,库到物理目录,vcom,modsimrand,选,择一个,HDL,文件进行编译,MATLAB,连接,ModelSim,流程例,5,关联,HDL,设计与,MATLAB,函数,,执行,ModelSim,命令,vsimmatlab xxx,xxx,为设计名,例,vsimmatlab modsimrand,6,加载仿真器,执行,vsim,命令,matlabtb xxx -mfunc yyy -rising zzz -socket,端口号,xxx,为实例名,,yyy,为,m,函数名, zzz,为时钟激励信号,或,matlabtb xxx -mfunc yyy -rising zzz,例:,matlabtb modsimrand -mfunc modsimrand_plot -rising /modsimrand/clk,MATLAB,连接,ModelSim,流程例,7,执行,Vsim,命令,输入激励信号,运行仿真。,例,force /modsimrand/clk 0 0 ns, 1 5 ns -repeat 10 ns,force /modsimrand/clk_en 1,force /modsimrand/reset 1 0, 0 50 ns,run 80000,MATLAB,连接,ModelSim,流程例,在,ModelSim,仿真过程中,,MATLAB,绘制输出信号,MATLAB,连接,ModelSim,流程例,8,重新仿真,ModelSim,:,restart,重复步骤,7,, 输入激励信号,运行仿真。,MATLAB,连接,ModelSim,流程例,9,结束仿真,ModelSim,quit,退出仿真,quit,结束,ModelSim,Matlab,hdldaemon(kill),关闭连接,Simulink Link ModelSim,Simulink,连接,HDL,仿真器,Simulink,与,HDL,仿真器协同仿真流程,1. ModelSim,建库,编译,2. Simulink,建模,使用,/,配置协同仿真模块,Matlab,建立连接,3. ModelSim,加载仿真器,4. Simulink,运行仿真,使用,Simulink,协同仿真模块,Simulink,建模,配置协同仿真模块,-,端口设置,端口名称与,ModelSim,信号命名相同,删除不合适的端口,对输出端口设采样时间,配置协同仿真模块,-,端口设置,自动填入端口信息:,在,modelsim,中关联:,vsimulink,实体名,在,simulink,协同仿真模块中删除已有端口,点击,Auto Fill,删除不必要的端口,如,clk(clk,信息应在,clocks,选项卡中设置,),配置协同仿真模块,共享内存连接,配置协同仿真模块,socket,连接,配置协同仿真模块, HDL,主时钟端口时钟,端口名称与,ModelSim,信号命名相同。 设置周期,输出端口的采样时间应与此相同。,如果使用,Simulink,仿真时钟,则不需要添加时钟端口,配置协同仿真模块,时间比例,时间比例设置有两种方式,相对时间,Tick,真实时间,s,时间比例设置,-,相对时间,Tick,时间比例设置,-,相对时间,Tick,求解器设置,模块设置,时间比例设置,-,相对时间,Tick,相对时间仿真 例,48000+2000=50000 tick,其中有,5000,个,clock,时间比例设置,-,真实时间,S,时钟周期,=10ns,总的仿真时间,=50us,求解器设置,模块设置,时间比例设置,-,真实时间,10e-9=10ns,50000 ns = 50us = 50x10,-6,s,其中有,5000,个,clock,真实时间 例,真实时间仿真 例,配置协同仿真模块,脚本命令,例,1-modsimrand-,建模,例,1-modsimrand-,模块端口,例,1-modsimrand-,模块时钟端口,例,1-modsimrand-,模块时钟比例,例,1-modsimrand-,模块连接,例,1-modsimrand-,模块脚本,求解器设置,例,1-modsimrand-modelsim,命令,设置工作目录、建库、编译等按常规执行,.,启动仿真器,:,vsimulink work.modsimrand,add wave sim:/modsimrand/*,例,1-modsimrand-HDL,仿真,例,1-modsimrand-simulink,仿真,例,2-Simulink,建模,Simulink ModelSim,协同仿真流程,Matlab,启动,Link,Hdldaemon,或,hdldaemon(socket,端口号,),ModelSim,指定目录、编译等,加载仿真器,vsimulink,work.inverter,Simulink,运行仿真,仿真输出,Simulink ModelSim,多个,HDL,协同仿真,仿真模式,基于采样的仿真,在每个采样时刻,进行一次仿真处理,基于帧的仿真,将多个采样时间的顺序输入排列成为帧,(,矢量,),然后在一个采样时间里进行仿真处理,Simulink ModelSim,协同仿真,基于帧的仿真,可以节省仿真时间,也能使仿真行为更精确,HDL,协同仿真模块支持处理单通道基于帧的信号,不需要对,HDL,协同仿真模块做专门的帧处理设置,.,采用基于采样或基于帧,不影响,HDL,协同仿真模块的行为,只影响,Simulink,源信号的“,sample,per,frame,”属性用于设置帧的尺寸,该属性值为,1,即是基于采样模式,该属性值为大于,1,的整数即是基于帧模式,Simulink ModelSim,协同仿真,基于帧的仿真 例,frame_filter_cosim.mdl,基于帧的仿真,t = clock; sim(gcs); etime(clock,t),ans =,1.3610,基于采样的仿真,t = clock; sim(gcs); etime(clock,t),ans =,1.8000,Simulink ModelSim,协同仿真,VCD,VCD,value change dump (VCD),将协同仿真时的数据转储到文件中,供脱机验证等使用,Simulink ModelSim,协同仿真,VCD,ModelSim,将,VCD,转换格式,ModelSim,的,vcd2wlf,工具将,VCD,文件转换为,WLF,文件,例,VSim n vcd2wlf x.vcd x. wlf,转换格式,VSim n vsim -view x.wlf,加载文件,VSim n add wave x:/*,显示波形,Simulink ModelSim,协同仿真,VCD,转波形在,ModelSim,中显示,Simulink ModelSim,协同仿真自动生成测试平台,流程,Simulink,仿真,自动生成,HDL,代码,自动生成测试平台,启动,hdldaemon,连接,协同仿真,比对结果,用,Simulink,仿真源模型,用,HDL,仿真器仿真协同仿真模块,Simulink ModelSim,协同仿真测试平台,自动生成协同仿真模型, 搭建测试平台,Simulink ModelSim,协同仿真,源模型,仿真测试模型,Simulink ModelSim,协同仿真,仿真测试模型,Simulink,仿真,HDL,仿真,源信号路由,两个仿真器使用相同的信号,目标信号路由,比对两种仿真结果,批命令按钮,源信号路由,目标信号路由,仿真结果比对,批命令按钮,OpenFcn,cd hdlsrc,vsim(tclstart,gm_AirFlowCtrl_mq_tcl), cd .,cd hdlsrc,vsim(rundir,.,vsimdir,D:Modeltech_6.1fwin32,tclstart,gm_AirFlowCtrl_mq_tcl),cd .,更为完整的批命令,指定工作目录。该目录下有源程序、,conpile_and_lauch.tcl,编译命令及,do,命令,指定仿真器路径,仿真结果比对,HDL,的输出有,1,个时钟周期的延迟,用,Modelsim,单独仿真流程,代码生成,生成测试平台,启动,Modelsim,,改变目录到,hdlsrc,执行测试平台编译批命令,执行测试平台仿真批命令,用,Modelsim,单独仿真 例,生成测试平台,执行测试平台编译批命令,执行测试平台仿真批命令,查看仿真结果,命令窗,wave,窗,wave,窗,Modelsim,仿真的输入激励、仿真时间的长短与,Simulink,中的输入与仿真时间设置相同,命令窗,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 营销创新


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!