环形计数器和扭环形计数器设计#严选材料

上传人:8** 文档编号:128681527 上传时间:2022-08-01 格式:DOC 页数:22 大小:1,020KB
返回 下载 相关 举报
环形计数器和扭环形计数器设计#严选材料_第1页
第1页 / 共22页
环形计数器和扭环形计数器设计#严选材料_第2页
第2页 / 共22页
环形计数器和扭环形计数器设计#严选材料_第3页
第3页 / 共22页
点击查看更多>>
资源描述
本科毕业论文(设计、创作)题目: 环形计数器和扭环形计数器设计学生姓名: 学号: 院(系): 电子信息工程学院 专业: 通信工程 入学时间: 年 月导师姓名: 职称/学位: 导师所在单位: 完成时间: 2014 年5 月 环形计数器和扭环形计数器设计 摘要现代世界的快速发展,夜生活已成为大多数城市不可缺少的生活,在夜生活中,五彩斑斓,形状不断变化的LED灯走入了大家的生活。而各种形状的LED灯,随着技术的不断发展,EDA设计得到不断地发展和应用,LED灯所表示的图案多种多样,LED灯中最简单的就数流水灯,而流水灯可以由环形计数器或扭环形计数器控制。本文以环形计数器和扭环形计数器为设计对象,根据其相关规律,设计其运行电路,以及用verilog硬件描述语言实现。内容主要涉及计数器的循环与自启动以及最后整个计数器的检测方案和仿真。本设计可以实现计数器的一些简单的控制,并用modelsim进行仿真。关键词:环形计数器和扭环形计数器设计;Verilog;modelsim。Twisted ring counter ring counter and designAbstractThe rapid development of the modern world, night life has become indispensable in the life most of the city, in the nightlife, colorful, shape changing LED lights went into peoples lives. And various shapes of LED lights, with the continuous development of technology, EDA design get continuously development and application of LED lamp represents pattern is varied, the most simple several water light LED lights, lights and running water can be controlled by the ring counter or twisting the ring counter. This article is based on the circular counter and twisting the ring counter as the design object. According to the counter relevant laws , the counter is to design the operation circuit .this design adopts hierarchical method and verilog hardware description language to realize. This article is mainly related to counter circulation and since the start and final of the test scheme and simulationThis design can realize some simple control counter, and modelsim simulationKeywords: ring counter and twisting the ring counter design ; Verilog; modelsim.目 录1.引言12.设计任务和要求12.1设计任务22.2设计要求33设计原理33.1环形计数器定义33.2环形计数器工作原理33.3扭环形计数器定义33.4扭环形计数器工作原理34.环形计数器的设计35.扭环形计数器设计66.环形计数器的自启动设计97.扭环形计数器的自启动设计:118.结束语13主要参考文献15致谢16优质内容#1 引言随着社会的不停发展,越来越多的LED灯用于城市的装饰,让城市在夜晚也可以变得炫彩夺目,熠熠生辉。而LED灯的简单控制,使其产生各种绚丽的拼图,分而视之可以用一些简单的设计控制细小的模块而成。其中最简单的可以用环形计数器和扭环形计数器的原理和电路来控制,产生一种流水灯的效果。在此基础上,本文对环形计数器和扭环形计数器的的实现做了简单的设计,对两种计数器的原理,自启动,电路图进行简单的分析和设计,并用Verilog硬件描述语言进行编写以及Altera公司的modelsim仿真工具进行仿真。硬件描述语言的发展 硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路ASIC或现场可编程门阵列FPGA自动布局布线工具,把网表转换为要实现的具体电路布线结构。 目前,这种高层次(high-level-design)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA采用硬件描述语言进行设计。 硬件描述语言HDL的发展至今已有20多年的历史,并成功地应用于设计的各个阶段:建模、仿真、验证和综合等。到20世纪80年代,已出现了上百种硬件描述语言,对设计自动化曾起到了极大的促进和推动作用。但是,这些语言一般各自面向特定的设计领域和层次,而且众多的语言使用户无所适从。因此,急需一种面向设计的多领域、多层次并得到普遍认同的标准硬件描述语言。20世纪80年代后期,VHDL和VerilogHDL语言适应了这种趋势的要求,先后成为IEEE标准。 目前,硬件描述语言可谓是百花齐放,有VHDL,Verilog等等。VHDL虽然是1995年以前唯一制定为标准的硬件描述语言,但它却比较麻烦,而且其综合库至今也没有标准化,不具有晶体管开关级的描述能力和模拟设计的描述能力。目前的看法是,对于大型的系统级数字电路设计,VHDL是较为合适的。 实质上,在底层的VHDL设计环境是由VerilogHDL描述的器件库支持的,因此,它们之间的互操作性十分重要。目前,Verilog和VHDL的两个国际组织OVI、VI正在筹划这一工作,准备成立专门的工作组来协调VHDL和VerilogHDL语言的互操作性。OVI也支持不需要翻译,由VHDL到Verilog的自由表达。 VerilogHDL是在1983年,由GDA(Gate Way Design Automation)公司的Philmoorby首创的。Philmoorby后来成为Verilog-XL的主要设计者和Cadence公司的第一合伙人。在19841985年,Philmoorby设计出来第一个名为Verilog-XL的仿真器;1986年,他对VerilogHDL的发展又作出了另一个巨大的贡献:提出了用于快速门级仿真的XL算法。 随着Verilog-XL算法的成功,VerilogHDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,VerilogHDL语言成为Cadence公司的私有财产。1990年,Cadence公司决定公开VerilogHDL语言,于是成立了OVI(Open Verilog Internation)组织,负责促进VerilogHDL语言的发展。基于VerilogHDL的优越性,IEEE与1995年制订了VerilogHDL的IEEE标准,及VerilogHDL1364-1995;2001年发布了VerilogHDL1364-2001标准。这个标准中,加入了VerilogHDL-A标准,是Verilog有了模拟设计描述的能力。 随着电子产业不断发展与推进,硬件描述语言也会不断的发展以适合现实情况的要求,VerilogHDL可能发展成为更高等级的语言,或者被跟高级的语言所替代和兼容。Modelsim仿真工具Modelsim是Model Technology(Mentor Graphics的子公司)的DHL硬件描述语言的仿真软件,该软件可以用来实现对设计的VHDL、Verilog或者是两种语言混合的程序进行仿真,同时也支持IEEE常见的各种硬件描述语言标准。无论从有毫的使用界面和调试环境来看,还是从仿真速度和仿真效果来看,Modelsim都可以算得上是业界最优秀的DHL语言仿真软件。他是唯一的单核内支持VHDL和Verilog混合仿真的仿真器,是做FPJA/ASIC设计的RTL级和门级电路仿真的首选;他采用直接优化的编译技术,TCL/TK技术和单一内核仿真技术,具有仿真速度快,编译代码与仿真平台无关,便于IP核保护和加快程序错位定位等优点。Modelsim最大的特点是其强大的调试功能。先进的数据流窗口,可以迅速追踪到产生错位或者不确定状态的原因。性能分析工具帮助分析性能瓶颈,加速仿真。代码覆盖率检测确保测试的完备。多种模式的波形比较功能。先进的Signal Spy功能,可以方便地访问VHDL、Verilog或两者混合设计中的底层信号。支持加密IP。目前常见的Modelsim分为几个不同的版本:Modelsim SE、Modelsim PE、Modelsim LE和Modelsim OEM。其中Modelsim SE是主要版本。2 设计任务和要求2.1设计任务设计一个环形计数器和扭环形计数器2.2设计要求熟悉数字电路,语言编辑以及相应的仿真软件仿真3 设计原理3.1环形计数器定义环形计数器是由移位寄存器加上一定的反馈电路构成的,它是由一个移位寄存器和一个组合反馈逻辑电路闭环构成,反馈电路的输出接向移位寄存器的串行输入端,反馈电路的输入端根据移位寄存器类型的不同,可接向移位寄存器的串行输入端或某些触发器的输出端。3.2环形计数器工作原理四位环形计数器,它是把移位寄存器最低一位的串行输出作为高一级移位寄存器的串行输入。环形计数器常用来实现脉冲顺序分配的功能(分配器)假设寄存器的初始状态为1000,那么在移位脉冲的作用下其状态变为0100到0010到0001最后又返回到1000的顺序转换,并且不断循环往复的执行这一过程。由上述可知,该计数器的计数长度为N=n。3.3扭环形计数器定义扭环形计数器的定义同环形计数器的定义基本类似,只是在反馈电路上略有差别。扭环形计数器的反馈在高位端进过一个反向后再输出移位寄存器的最低位串行输入端。3.4扭环形计数器工作原理四位扭环形计数器,它是把移位寄存器最低一位的串行输出作为高一级移位寄存器的串行输入,最后的反馈电路却是将最高位串行输出加个反向器后输入到最低位串行输入端。假设寄存器的初始状态为0000,那么在一位脉冲的作用下其状态变为1000到11000011到0001最后又返回到0000的转换,并且不断循环这一过程。由上述可知,该计数器的计数长度为N=2n。4.环形计数器的设计设计一个四位环形计数器步骤如下:对于设计一个四位环形计数器,共有16个状态,状态转移表如下表所示: Q Q Q QQ Q Q Q0 0 0 10 0 1 00 0 1 00 1 0 00 1 0 01 0 0 01 0 0 00 0 0 11 0 0 10 0 1 10 0 1 10 1 1 00 1 1 01 1 0 01 1 0 01 0 0 11 1 1 01 1 0 11 1 0 11 0 1 11 0 1 10 1 1 10 1 1 11 1 1 00 1 0 11 0 1 01 0 1 00 1 0 10 0 0 00 0 0 01 1 1 11 1 1 1其中只有4个是有效状态。设其有效状态图如图4.1所示: 0001001010000100QQQQ 图4.1001101111001011010110101111110100000111011001101设其无效状态如图4.2所示: 图4.2可得如下表达式: Q= Q Q=Q Q= Q Q= Q驱动方程: D= Q D= Q D= Q D= Q用D触发器构成的电路图如下图4.3所示:(用CP代替图中VCC) 图4.3用Verilog语言描述如下:module circle_counter (rst,clk, cnt);parameter CNT_SIZE = 4;input rst;input clk;output CNT_SIZE - 1 : 0 cnt; reg CNT_SIZE - 1 : 0 cnt;always(posedge clk) if(!rst) cnt = 4b0001; /初始值else cnt = cntCNT_SIZE 2 : 0,cntCNT_SIZE - 1; /注意是循环左移位,而非简单的移位 endmodule输入激励如下:timescale 1ns/1nsmodule circle_counter_tb; parameter CNT_SIZE = 4; reg rst,clk; wire CNT_SIZE - 1 : 0 cnt; parameter DELY = 100; circle_counter mycounter(.rst(rst),.clk(clk),.cnt(cnt); /例化,对源文件代码调用 always #(DELY/2) clk = clk; /生成时钟 initial begin clk = 0; rst = 0; #(2*DELY) rst = 1; #(10*DELY) rst = 0; #(3*DELY) $finish; end initial /检测不同时间cnt和rst的值 $monitor ($time,cnt = %d, rst = %d,cnt,rst); Endmodule显示仿真结果如下图4.4所示: 图4.4由上述仿真可知:该循环只能在0001,0010,0100,1000内循环才是正确有效地,当跳出这个循环时,该程序不能保持在有效地循环内循环。而其他的状态均成无效状态而被浪费,而且一旦出错将很难回到有效状态继续循环。5.扭环形计数器设计设计一个四位扭环形计数器步骤如下:对于设计一个四位扭环形计数器,共有16个状态。状态转移表如下表所示: Q Q Q QQ Q Q Q0 0 0 00 0 0 10 0 0 10 0 1 10 0 1 10 1 1 10 1 1 11 1 1 11 1 1 11 1 1 01 1 1 01 1 0 01 1 0 01 0 0 01 0 0 00 0 0 00 1 0 11 0 1 11 0 1 10 1 1 00 1 1 01 1 0 11 1 0 11 0 1 01 0 1 00 1 0 00 1 0 01 0 0 11 0 0 10 0 1 00 0 1 00 1 0 1得其状态图如下图5.1所示:(有效状态(左图),无效状态(右图),该计数器的计数状态被等分成两半,每个循环的模都是8,即2N。因此,只需要规定其中一个为有效循环,则另一个就是无效循环。通常选择左边这个循环作为工作循环,因为在每次状态改变时,系统内只有一个触发器状态是改变的,这就避免了时序电路中得冒险现象。0000100000110001101101010100011111001111111011011001001010100110QQQQ图5.1表达式为:Q= Q= Q Q= Q Q= Q。驱动方程: D= D= Q D= Q D= Q用D触发器构成的电路图如下图5.2所示:(用CP代替图中VCC) 图5.2用Verilog语言描述如下:module john_counter(rst,clk ,cnt);input rst,clk;parameter CNT_SIZE = 4;output CNT_SIZE - 1 : 0 cnt;reg CNT_SIZE - 1 : 0 cnt;always(posedge clk) if(!rst) cnt = 4b0000; /初始值 else cnt = cntCNT_SIZE - 2 : 0,cntCNT_SIZE - 1; /注意是循环移位,而非简单的移位endmodule激励代码:timescale 1ns/1nsmodule john_counter_tb; parameter CNT_SIZE = 4; reg rst,clk; wire CNT_SIZE - 1 : 0 cnt; parameter DELY = 100;john_counter mycounter(.rst(rst),.clk(clk),.cnt(cnt);always #(DELY/2) clk = clk;initialbegin clk=0;rst=0; #(2*DELY) rst = 1; #(10*DELY) rst = 0; #(3*DELY) $finish; end initial $monitor ($time,cnt = %d, rst = %d,cnt,rst); Endmodule显示仿真结果如下图5.3所示: 图5.36.环形计数器的自启动设计: 以上方法设计出的环形计数器其00011000为有效循环,其余均为无效循环。一旦计数器进入无效循环,将保持无效循环计数,从而不能够转入有效循环。因此,该计数器不具备自启动功能。为了确保环形计数器工作在有效循环内,可以对上述电路进行改进,使之具有自启动功能。将Q, Q ,Q的输出经由或非门反馈入D端,即可实现自启动功能。电路图如下图6.1所示:(用CP代替图中VCC) 图6.1状态方程Q= Q= QQ= Q Q= Q状态转移图如下图6.2所示:0000100000110001101101010100011111001111111011011001001010100110QQQQ 图6.2用Verilog语言描述如下:module john_counter(rst,clk,cnt,D0,D1,D2,D3,LD);input rst,clk,D0,D1,D2,D3,LD;wire 3 : 0 DIN;output 3 : 0 cnt;reg 3 : 0 cnt;assign DIN3 : 0 = D3,D2,D1,D0; /add DIN to explain cnt in useless statealways(posedge clk) if(!rst) cnt = 4b0000; else if(!LD) /LD help to control the useless data into the circle cnt = DIN; else cnt = cnt2 : 0,(cnt2|cnt1|cnt0);endmodule激励程序:timescale 1ns/1nsmodule john_counter_tb; reg rst,clk,D3,D2,D1,D0,LD; wire 3 : 0 cnt; parameter DELY = 100;john_counter my_counter(.rst(rst),.clk(clk),.cnt(cnt),.D3(D3),.D2(D2),.D1(D1),.D0(D0),.LD(LD); always #(DELY/2) clk = clk; initial begin clk = 0; rst = 0; LD = 1; D3,D2,D1,D0 = 4b0000; #DELY rst = 1;LD = 1; #(8*DELY) LD = 0;D3,D2,D1,D0 = 4b0110; /chage DIN to examin useless state can enter into the useful state #(3*DELY) LD = 1; #(10*DELY) $finish; endendmodule显示仿真结果如下图6.3所示: 图6.3由上述分析可知,由触发器构成环形计数器时,有大量的电路状态被当作无效的状态而被舍弃掉。修改反馈输入端,不仅能够实现电路的自启动功能,而且也能提高电路状态的使用效率。7.扭环形计数器的自启动设计: 在上述设计中,扭环形计数器也是不能自启动的,对反馈电路进行适当的修改,就可以得到可自启动的扭环形计数器,能使计数器在任何状态下都能进入有效循环中进行计数。修改后电路图如下图7.1所示:(用CP代替图中VCC)图7.1状态转移图如下图7.2所示:0000100000110001101101010100011111001111111011011001001010100110QQQQ 图7.2 用Verilog语言描述如下:module john_counter(rst,clk,cnt,D0,D1,D2,D3,LD);input rst,clk,D0,D1,D2,D3,LD;wire 3 : 0 DIN;output 3 : 0 cnt;reg 3 : 0 cnt;assign DIN3 : 0 = D3,D2,D1,D0; /add DIN to explain cnt in useless statealways(posedge clk) if(!rst) cnt = 4b0000; else if(!LD) /LD help to control the useless data into the circle cnt = DIN; else cnt = cnt2 : 0,(cnt2)&cnt1)&cnt3);endmodule测试代码:timescale 1ns/1nsmodule john_counter_tb; reg rst,clk,D3,D2,D1,D0,LD; wire 3 : 0 cnt; parameter DELY = 100; john_counter my_counter(.rst(rst),.clk(clk),.cnt(cnt),.D3(D3),.D2(D2), D1(D1),.D0(D0),.LD(LD); always #(DELY/2) clk = clk; initial begin clk = 0; rst = 0; LD = 1; D3,D2,D1,D0 = 4b0000; #DELY rst = 1;LD = 1; #(8*DELY) LD = 0;D3,D2,D1,D0 = 4b1101; /chage DIN to examin useless state can enter into the useful state #(1*DELY) LD = 1; #(10*DELY) $finish; endendmodule显示仿真结果如下图3.7所示:8.结束语在本次毕设中,设计了环形计数器和扭环形计数器的非自启动和自启动的两种方式。对于不同的电路和实际要求,采用不同的方式。设计过程中,我熟悉了Verilog得基本语法,测试程序的简单编写和modelsim的使用。对相关书籍的阅读,使我完成这次毕设的设计。这次设计,让我对数字电路有了更深刻的了解和认识,对以后数字电路这一块的设计,让我有了更多的方式可供选择。短暂的对Verilog硬件描述语言和modelsim仿真软件的接触,让我看到了我的不足,看到与社会企业的脱轨,鼓励着我不断地学习已有的知识,紧跟变化潮流,不能抓住一种方法,不懂思辨。对于这次毕设让我学到很多很多,我会不断努力充实自己,学习更多知识,当然还会对Verilog硬件描述语言和modelsim仿真软件进行更深入的研究。 主要参考文献 1王钿,卓兴旺。基于Verilog HDL的数字系统应用技术国防工业出版社,2006 2李晓辉。数字电路与逻辑设计国防工业出版社,2012. 3康磊,宋彩利,李润洲.数字电路设计及Verilog HDL实现西安电子科技大学出版 社,2010.致 谢优质内容#
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 研究报告 > 化学工业


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!