VHDL语言设计4选1多路选择器

上传人:gbs****77 文档编号:10038206 上传时间:2020-04-09 格式:DOC 页数:4 大小:124.50KB
返回 下载 相关 举报
VHDL语言设计4选1多路选择器_第1页
第1页 / 共4页
VHDL语言设计4选1多路选择器_第2页
第2页 / 共4页
VHDL语言设计4选1多路选择器_第3页
第3页 / 共4页
点击查看更多>>
资源描述
4 选 1 多路选择器的 VHDL 描述 要求 THEN 语句和 CASE 语句实现 4 选 1 多路选择器 其中选择控制信号 s1 和 s0 的数据类型为 STD LOGIC VECTOR 当 s1 0 s0 0 s1 0 s0 1 s1 1 s0 0 和 s1 1 s0 1 时 分别执行 y a y b y c y d 一 解法 1 用 IF THEN 语句实现 4 选 1 多路选择器 1 程序 LIBRARY IEEE USE IEEE STD LOGIC 1164 ALL ENTITY mux41 IS PORT a b c d IN STD LOGIC s0 IN STD LOGIC s1 IN STD LOGIC y OUT STD LOGIC END ENTITY mux41 ARCHITECTURE if mux41 OF mux41 IS SIGNAL s0s1 STD LOGIC VECTOR 1 DOWNTO 0 定义标准逻辑位矢量数据 BEGIN s0s1 s1 s1 相并 s0 即 s1 与 s0 并置操作 PROCESS s0s1 a b c d BEGIN IF s0s1 00 THEN y a ELSIF s0s1 01 THEN y b ELSIF s0s1 10 THEN y c ELSE y d END IF END PROCESS END ARCHITECTURE if mux41 2 编译的结果如下 编译报告 二 解法 2 用 CASE 语句实现 4 选 1 多路选择器 1 程序 LIBRARY IEEE USE IEEE STD LOGIC 1164 ALL ENTITY mux41 IS PORT a b c d IN STD LOGIC s0 IN STD LOGIC s1 IN STD LOGIC y OUT STD LOGIC END ENTITY mux41 ARCHITECTURE case mux41 OF mux41 IS SIGNAL s0s1 STD LOGIC VECTOR 1 DOWNTO 0 定义标准逻辑位矢量数据类 型 BEGIN s0s1 y y y y NULL END CASE END PROCESS END case mux41 2 编译结果 编译报告
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 解决方案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!