一个牛人地Systemverilog总结材料

上传人:无*** 文档编号:82946666 上传时间:2022-04-30 格式:DOC 页数:25 大小:63.50KB
返回 下载 相关 举报
一个牛人地Systemverilog总结材料_第1页
第1页 / 共25页
一个牛人地Systemverilog总结材料_第2页
第2页 / 共25页
一个牛人地Systemverilog总结材料_第3页
第3页 / 共25页
点击查看更多>>
资源描述
wordSystemverilog数据类型l合并数组和非合并数组1合并数组:存储方式是连续的,中间没有闲置空间。例如,32bit的存放器,可以看成是4个8bit的数据,或者也可以看成是1个32bit的数据。表示方法:数组大小和位,必须在变量名前指定,数组大小必须是【msb:lsb】Bit3:0 7:0 bytes;2二维数组和合并数组识别:合并数组:bit 3:0 7:0 arrys;大小在变量名前面放得,且降序二维数组:int arrays0:7 0:3 ;大小在变量名后面放得,可降序可升序位宽在变量名前面,用于识别合并和非合并数组,位宽在后面,用于识别数组中元素个数。3非合并数组一般仿真器存放数组元素时使用32bit的字边界,byte、shortint、int都放在一个字中。非合并数组:字的地位存放变量,高位不用。表示方法:Bit7:0 bytes;4合并数组和非合并数组的选择1当需要以字节或字为单位对存储单元操作。2当需要等待数组中变化的,如此必须使用合并数组。例如测试平台需要通过存储器数据的变化来唤醒,需要用到,只能用于标量或者合并数组。Bit3:0 7:0 barray3; 表示合并数组,合并数组中有3个元素,每个元素时8bit,4个元素可以组成合并数组可以使用barry0作敏感信号。l动态数组随机事物不确定大小。使用方法:数组在开始是空的,同时使用new来分配空间,在newn指定元素的个数。Int dyn;Dyn = new5;/分配5个元素空间Dyn.delete() ;/释放空间l队列在队列中增加或删除元素比拟方便。l关联数组当你需要建立一个超大容量的数组。关联数组,存放稀疏矩阵中的值。表示方法:采用在方括号中放置数据类型的形式声明:Bit63:0 assocbit63:0;l常量:1Verilog 推荐使用文本宏。好处:全局作用X围,且可以用于位段或类型定义缺点:当需要局部常量时,可能引起冲突。2Parameter作用X围仅限于单个module3Systemverilog:参数可以在多个模块里共同使用,可以用typedef 代替单调乏味的宏。过程语句l可以在for循环中定义变量,作用X围仅在循环内部for(int i=0;i10;i+)arrayi =i;l任务、函数与void函数1 区别:Verilog中task 和function最重要的区别是:task可以消耗时间而函数不能。函数中不能使用#100的延时或的阻塞语句,也不能调用任务;Systemverilog中函数可以调用任务,但只能在forkjoinnone生成的线程中。2使用:如果有一个不消耗时间的systemverilog任务,应该把它定义成void函数;这样它可以被任何函数或任务调用。从最大灵活性角度考虑,所有用于调用的子程序都应该被定义成函数而非任务,以便被任何其它任务或函数调用。因为定义成任务,函数调用任务很有限制l类静态变量作用:1类的静态变量,可以被这个类的对象实例所共享。当你想使用全局变量的时候,应该先想到创建一个类的静态变量静态变量在声明的时候初始化。2类的每一个实例都需要从同一个对象获取信息。l静态方法作用:当静态变量很多的时候,操作它们的代码是一个很大的程序,可以用在类中创建一个静态方法读写静态变量,但是静态方法不能读写非静态变量。lref高级的参数类型Ref 参数传递为引用而不是复制。Ref比 input 、output、inout更好用。Function void print_checksum(const ref bit 31:0 a );1)也可以不用ref进展数组参数传递,这时数组会被复制到堆栈区,代价很高。2)用带ref 进展数组参数传递,仅仅是引用,不需要复制;向子程序传递数组时,应尽量使用ref以获得最优性能,如果不希望子程序改变数组的值,可以使用const ref。3)Ref参数,用ref 传递变量;可以在任务里修改变量而且,修改结果对调用它的函数可见,相对于指针的功能。lReturn语句增加了return语句。Task任务由于发现了错误而需要提前返回,如果不这样,那么任务中剩下的语句就必须被放到一个else条件语句中。体会下Task load_array(int len. Ref int array );If(len0)begin$display(“Bad len);Returun;/任务中其它代码endtaskl局部数据存储 automatic作用Verilog中由于任务中局部变量会使静态存储区,当在多个地方调用同一个任务时,不同线程之间会窜用这些局部变量。Systemverilog中,module和program块中,缺省使用静态存储;如果想使用自动存储,需参加automatic关键词。测试平台lInterface背景 :一个信号可能连接几个设计层次,如果增加一个信号,必须在多个文件中定义和连接。接口可以解决这些问题。好处:如果希望在接口中增加一个信号,不需要改变其他模块,如TOP模块。使用方法:1接口中去掉信号的方向类型;2DUT 和测试平台中,信号列表中采用接口名,例化一个名字注意:因为去掉了方向类型,接口中不需要考虑方向信号,简单的接口,可以看做是一组双向信号的集合。这些信号使用logic类型d1。双向信号为何可以使用logic呢?这里的双向,只是概念上的双向,不想verilog中databus多驱动的双向。双向信号如何做接口?1仲裁器的简单接口Interface arb_if( input bit clk);Logic 1:0 grant,request;Logic rst;EndinterfaceDUT 使用接口:Module arb(arb_if arbif);Always (posedge arbif.clk or negedge arbif.rst)endmodule2DUT 不采用接口,测试平台中使用接口推荐DUT 中源代码不需要修改,只需要再top中,将接口连接到端口上。Module top;Bit clk;Always #2 clk =clk;Arb_if arbif(clk);Arb_port al(.grant(arbif.grant),.request(arbif.grant),.rst(arbif.rst),.clk(arbif.clk);Test t1(arbif);EndmodulelModport背景:端口的连接方式包含了方向信息,编译器依次来检查连续错误;接口使用无信号的连接方式。Modport将接口某某号分组并指定方向。例子:l在总线设计中使用modport并非接口中每个信号都必须连接。Data总线接口中就解决不了,个人觉得?因为data是一个双驱动l时钟块作用:一旦定义了时钟块,测试平台就可以采用arbif.cb等待时钟,而不需要描述确切的时钟信号和边沿,即使改变了时钟块中的时钟或边沿,也不需要修改测试代码应用:将测试平台中的信号,都放在clocking 中,并指定方向以测试平台为参考的方向。并且在modprot testclocking cb,最完整的接口:Interface arb_if(input bit clk);Logic1:0 grant,request;Logic rst;Clocking cb (posedge clk);Output request;Input grant;EndclockingModport test (clocking cb,Output rst);Modport dut (input clk, request,rst,Output grant);endinterface变化:将request 和grant移动到时钟块中去了,test中没有使用了。l接口中的双向信号Interface master_if(input bit clk);/在类中为了,不使用有符号数,常用bit定义变量Wire 7:0 data;Clocking cb(posedge clk);Inout data;EndclockingModport TESTclocking cb;endinterfaceprogram testmaster_if mif;initial beginmif.cb.data = z;mif.cb;$display(mif.cb.data);/总线中读数据mif.cb;Mif.cb.data = 8h5a;/驱动总线mif.cb;Mif.cb.data = z;/释放总线注:1interface 列表中clk 采用的是input bit clk;为什么要用bit?2时钟块 clocking cb 中,一般将testbench中需要的信号,方向指定在这里;而在modprot 指定test信号方向的时候,采用clocking cb。3interface某某号,不一定都用logic,也可采用wire双驱动;systemverilog中如果采用C代码的风格参数列表中方向和类型写一起,必须采用logic类型4现在的风格,DUT 没才用clocking cb ,测试平台和DUT的时钟如何统一?l激励时序DUT和测试平台之间时序必须密切配合。l测试平台和设计间的竞争状态好的风格:使用非阻塞赋值可以减少竞争。systemverilog验证中initial 中都采用= 赋值,而等待延迟采用arbif.cb等待一个周期来实现。而verilog中采用的风格时,initial 中采用 =阻塞赋值,沿时可以采用#2,等实现。因此时钟发生器,只能放在module 中,而不能放在program中lProgram中不能使用always块测试平台可以使用initial 但不能使用always,使用always 模块不能正常工作。原因:测试平台的执行过程是进过初始化、驱动和响应等步骤后完毕仿真。如果确实需要一个always块,可以使用initial forever 来完成。比如:在产生时钟时。类l类中static变量背景:如果一个变量需要被其他对象所共享,如果没有OPP,就需要创建全局变量,这样会污染全局名字空间,导致你想定义局部变量,但变量对每个人都是可见的。1作用:类中static变量,将被这个类的所有实例对象所共享,使用X围仅限于这个类。例:class transaction;Static int count=0;Int id;EndclassTrasaction tr1,tr2;Id不是静态变量,所以每个trasaction对象都有自己的id;count 是静态变量,所有对象只有一个count变量。如何用?当你打算创建一个全局变量的时候,首先考虑创建一个类的静态变量。2static变量的引用句柄或类名加:4)static 变量的初始化static变量通常在声明时初始化。不能在构造函数中初始化,因为每一个新的对象都会调用构造函数。l静态句柄:背景:当类的每一个对象,都需要从同一个对象另一个类中获取信息的时候。如果定义成非静态句柄,如此每个对象都会有一份copy,造成内存浪费。l静态方法背景:当使用更多静态变量的时候,操作他们的代码会很长。作用:可以在类中创建一个静态方法用于读写静态变量。注:systemverilog不允许,静态方法读写非静态变量。l类之外的方法背景:解决类太长的问题。类最好控制在一页内,如果方法很都很长。lThis背景:如果在类很深的底层作用域,却想引用类一级的对象。在构造函数中最常见。作用:this指向类一级变量l如何做类,类做多大?上限:类不能太大当类中存在多处一样的代码,你需要将这段代码做成当前类的一个成员函数或父类的成员函数。下限:类不能太小类太小,增加了层次。方法:如果一个小类只被例化了一次,可以将它合并到父类中去。l动态对象概念区分:方法中修改对象 和修改句柄修改对象将对象的变量重新赋值。修改句柄在任务中new对象。1 当你将对象传递给方法背景:句柄,new后变成对象,在将其作为参数传递给方法。实质和作用:传递的是句柄。这个方法可以读取对象中的值;也以改变对象中的值2 修改标量变量的值背景:在方法的参数中,前面加ref;用ref传递,ref传递的是变量的地址。作用:方法可以修改变量的值,并将修改的值,传递给主程序。引申:方法可以改变对象,即使没有使用ref 修饰句柄。因为传递的是句柄,句柄是地址。不要将句柄和对象混为一谈,如果传递的是对象,对象是单向的,那方法以外也不能传递回来。可以这样理解吧。读写对象中的值:例:TasktransmitTranscation t;Cbbus.rx_data 和ifelse可产生和case效果类似的语句块,可以用于枚举类型的表达式。l双向约束l控制多个约束块作用:可以打开或关闭某个约束可以使用内建的Handle.constraint.constraint_mode()打开或关闭。l内嵌约束背景:很多测试只会在代码的一个地方随机化对象,但是约束越来越复杂时,Systemverilog可以使用randomized with 来增加额外的约束,这和在类里增加的约束是等效的。lPre_randomize 和post_randomize函数有时候需要再调用randomize之前或之后立即执行一些操作。随机化前:设置类里的一些非随机变量如上下限、权重,随机化后:计算数据的误差矫正值。l约束的技巧1约束中使用变量2使用非随机值如果一套约束在已产生了几乎所有想要的激励向量,但还缺少几种。可以使用rand_mode把这些变量设置为非随机变量。l数组约束Systemverilog可以用foreach对数组中的每一个元素进展约束。线程与线程间的通信l测试平台使用许多并发执行的线程。测试平台隶属于程序块。Systemverilog引入两种新的创建线程的方法forkjoin_none和forkjoin_any1 使用forkjoin_none来产生线程在调度其内部语句时,父线程继续执行。2 使用forkjoin_any实现线程同步在调度块内语句,当第一个语句执行完,父线程才继续执行。l动态线程Systemverilog中可以动态创建线程。用法:forkjoin_none放在了任务中,而不是包含两个线程。原因:主程序中有连个线程:发送和检测线程。但是不能同时启动,发送事物后,才能检测,否如此还未产生数据,就开始检测;但是检测又不能阻塞下一次发送事物的线程。所以forkjoin_none 放在了检测task 任务后作用的线程中中,例:测试平台产生随机事物并发送到DUT中,DUT把事物返回到测试平台。测试平台必须等到事物完成,但同时不希望停止随机事物的发送。Program automatic testbus_ifc.Tbbus;Taskcheck_trans(Transaction tr);ForkBeginWait(bus.cb.addr = tr.addr);EndJoin_noeEndtaskInitial beginRepreat(10)beginTr= new();Assert.(tr.randomize();/把事物发送到DUT中Transmittr;/等待DUT的回复Check_trans(tr);End#100;Endendprograml并发线程中务必使用自动变量来保持数值。l#0 延迟,使得当前线程必须等到forkjoin_none语句中产生的线程执行完后,才得以运行。l停止线程1)停止单个线程使用fork .join_any 后加disable。3 停止多个线程Disable fork能停止从当前线程中衍生出来得所有子线程。应该使用fork .join 把目标代码包含起来,以限制Disable fork的作用X围。l事件背景:Verilog中当一个线程在一个事件上发生阻塞的同时,正好另一个线程触发了这个事件,如此竞争就出现了。如果触发线程先于阻塞线程,如此触发无效触发是一个零宽度的脉冲。解决方法:Systemverilog 引入了triggered函数,用于检测某个事件是否已被触发过,包括正在触发。线程可以等待这个结果,而不用在操作符上阻塞。例子:Event e1,e2;Initial begin-e1;e2;EndInitial begin-e2;e1;End上面的代码,假设先执行第一个块,再执行第二个块。第一个块会阻塞在e2阻塞先执行,直到e2触发,再运行触发后执行;在执行第二个块时,会阻塞在e1,但是e1已经触发触发先执行,阻塞后执行,触发是个零宽度的脉冲,会错过第一个事件而锁住解决方法:用waite1.triggered来代替阻塞el,如果先触发,也可以执行。l等待多个事件最好的方法是:采用线程计数器来等待多个线程。l旗语Get可以获取一个或多个钥匙,put可以返回一个或多个钥匙。Try_get()获取一个旗语而不被阻塞。l信箱背景:如何在两个线程中传递信息?考虑发生器需要创建很多事物并传递给驱动器的情况。问题:如果使用发生器的线程去调用驱动器的任务。这样,发生器需要知道驱动器的层次化路径类的层次化,降低了代码的可重用性;还迫使发生器和驱动器同一速率运行,当一个发生器需控制多个驱动器时会发生同步问题。解决方法:把驱动器和发生器当成各个处理事物的对象,之间通过信道交换数据。信道允许驱动器和发生器异步操作;引入问题:你可能倾向于仅仅使用一个共享的数据或队列,但这样,编写实现线程间的读写和阻塞代码会很困难。解决方法:可以使用systemverilog中的信箱。把信箱看出一个具有源端和收端的FIFO.操作:1信箱的容量可以指定,newsize,size限制信箱中的条目,size为0,或没指定,如此信箱是无限大。2Put放数据,get可以移出数据。Peek可以获取信箱中数据的copy而不移出。3信箱中可以放句柄,而不是对象。漏洞:在循环外只创建一个对象,然后使用循环对对象随机化,信箱中是句柄,最终得到的是一个含有多个句柄的信箱,多个句柄都指向同一个对象。解决方法:在循环中,创建多个对象。l异步线程间使用信箱背景:很多情况下,由信箱连接的两个线程应该步调一致,这样生产方 才不至于跑到消费方前。 好处:最好层的generator需要等待低层的数据发完后才能完毕。测试平台能准确知道所有激励发出去的时间。两种情况两个线程同步,需要额外的握手信号。否如此,出现生产方运行到完毕,消费方还启动。1)信箱容量为1,两个线程同步因阻塞,连个线程不需要握手信箱3容量不为1,线程间同步需要使用握手信号,以使producer不超前于consumer;如果consumer超前于prodecer会阻塞。解决方法1使用定容信箱和peek实现线程同步:比拟好消费方:consumer 使用信箱方法peek获取信箱里的数据的copy而不将其移出,当consumer处理完数据后,便使用get移出数据。特点:信箱容量定义为1,不需要握手信号。CalssconsumerRepeatnbeginMbx.peek(i);$display(“consumer:after get( ),i);Mbx.get(i);Endendcalss如果直接使用get替代peek,那么事务会被立刻移出,这样可能会在consumer完成事务前,producer生成新的数据。-2)使用信箱和事件实现线程同步使用边沿敏感的阻塞语句handshake 代替电平触发wait(handshake.triggered()。因为:线程中任务run使用循环,事件阻塞只能使用handshake。局限:如果遇到producer线程的阻塞和consumer线程的触发同时发生,如此可能出现次序上的问题。3使用两个信箱实现线程同步使用另一个信箱把consumer的完成信息发回给producer。目的:在producer线程中,处理完事物后,用一个get来阻塞。特点:信箱容量大于1.Maiboxmbx,rtn;Class prodecerFor(int i=0; i4;i+) begin.Mbx.put(i);Rtn.get(i);EndEndclassClass consumerRepeat(3) begin.Mbx.get(i);Rtn.put(-i);EndEndclass说明:信箱的构造函数中Mbx =new();Rtn =new(),信箱容量为无穷大。如何实现同步?虽然信箱容量为无穷大,producer线程发完一个数据后遇到get会阻塞,不能放入第二个数据;等到consumer得到第一个数据并且处理完后,通过另一个信箱返回一个数据,producer才继续放第二个数据。因为get得到数据后,将信箱中数据取出。表象:信箱容量定义为无穷大,但是实际上也是producer放一个数据,consumer取一个数据;然后producer再放第二个数据,依次类推。这样确保producer不会超前于consumer线程,而将数据都写入信箱。4 其他的同步技术通过变量或旗语阻塞也可以实现握手。事件是最简单的结构,其次是通过变量阻塞。旗语相当于第2个信箱,但是没有交换信息。Systemverilog中的信箱比其他技术要差,原因是无法在producer放入第一个事务时,让它阻塞。Producer一直比consumer提前一个事务的时间。lWait(handshake.triggered()和handshake 使用X围1Wait(handshake.triggered(),用于等待一个事件;2循环中等待事件,只能用handshake3两个线程的同步,一般任务run使用循环,所以只能使用handshake。须知事项:1在循环中,等待事件不能用Wait(handshake.triggered(),因为如果事件触发一次,wait语句一直为真,进入不断的循环。下一次循环中,不会阻塞。2handshake 如果触发事件,先于等待事件。会等不到事件,因为事件触发,是一个零宽度的脉冲OPP的高级编程技巧l继承背景:为总线事务增加一个错误功能并带可变延时的复杂类。方法如下:1使用合成,即在类中例化另一个类型的类。有时候很难将功能分成独立的局部。如果使用合成,如此需要为正确和错误事务分别创建不同的类,正确类的测试平台需要重写以处理错误类的对象。2使用扩展类作用:当需要增加事务,而对现有的测试代码修改越少越好,。例如增加错误注入功能。扩展类和类合成区别:扩展类解决,增加新事务,使用类合成中,大量修改代码的麻烦。如何使用:扩展类共享基类的变量和子程序。1根本类中的方法,需标记为virtual,这样扩展类中才可以重新定义。扩展类中函数,和基类中函数名一样时,通过supper.函数名,调用基类中函数。Systemverilog中不允许supper.supper.new方式经行多层调用。2)如果基类构造函数new有参数,那么扩展类,必须有一个构造函数,并在构造函数的第一行调用基类的构造函数。Class baselFunction newinputint var;this.var = var;endfunctionendclassclassextendedextends baselfunction new(input int var);super.new(var);endfunctionendclass3)OPP规如此指出:基类的句柄,也可以指向扩展类的对象。好好体会l蓝图模式1背景:一个简单的发生器,通过信箱将数据传递给驱动器。class generatormailboxgen2drv;transaction tr;function new(input mailbox gen2drv)this.gen2drv = gen2drv;endfunctiontask run;forever begintr = new();assert(tr.randmize);gen2drv.put(tr);/mail.put(x)endendtaskendclass存在问题:这个例子在循环内部创建事务对象,而不是在循环外部,防止了测试平台常见的错误。New放在循环外部,错误原因是,mailbox中放入的是句柄,而不能是对象,所有的句柄都指向同一个对象。1任务Run创建了一个事物并立即随机化,意味着事务使用了默认的所有约束。要修改,必须要修改transaction类。(2)无法使用扩展解决方法:将tr的创建和初始化分开,使用蓝图模式。另一个问题:如果简单的把创建和初始化分开,而放在循环外部,而防止测试平台错误P200,如何解决?蓝图模式如何解决2蓝图模式概念:首先构建一个对象蓝图金属模,然后修改它的约束,甚至可以用扩展对象替换它,随机化这个蓝图时,就得到想赋予的随机值;然后复制这个对象,将copy发给下游。蓝图:是一个钩子,允许你改变发生器类的行为而无需修改其类代码。蓝图对象在一个地方构建new,在另一个地方任务run使用3P200与P221相比照分析:重要蓝图模式,也就比new在循环外地generator多了一个copy函数。问题1蓝图模式,new在循环外,也只有一个对象,而mailbox中放入的只能是句柄,如何解决常见的平台错误?因为copy,是对象的复制,而不是句柄的复制。这样蓝图模式只有一个句柄,但是随机化后,copy,相当于再循环中创建了许多对象。而测试平台常见错误的本质是,只创建了一个对象。这样就防止了问题。2蓝图模式下,因为只有一个ID号,那么任务run循环中,下发了许多数据,这些只有一个ID号了?因为copy是对象的复制,所以在copy中ID号也会增加。下发的每个数据,都有各自的ID号。l使用扩展的transaction为了注入错误,需要将蓝图对象transaction变成Badtransaction改变蓝图。必须在环境的创建和运行阶段之间完成这个操作。注意:所有的badTr引用都在这一个文件中,这样就不需要改变environment类或generator类。Env.build();BeginBadtr bad = new();Env.gen.blueprint = bad;End目的是:将一个对象取代另一个对象。New后都是对象了,将对象赋值给对象,这是什么写法?不是复制呀?复制本质是将一个句柄指向一个对象。解释:上述是句柄的复制,将扩展类句柄bad赋值给基类句柄blueprint,这样基类句柄指向扩展类对象,后面的代码调用的时候,就直接指向扩展类bad了,改变了蓝图。lEnv.new和nev.build区别Env.new仅仅new函数nev.build是将各个模块new,并传达一些参数,通过这些参数将环境的各个模块,连接起来。P213l$cast 作类型向下转换背景:基类句柄可以指向扩展类对象,不需要额外的代码; 扩展类句柄指向基类对象,一般情况下会出错,但有时候是可以的,前提是基类句柄指向了它的一个扩展类对象。作用:扩展类句柄指向基类对象时,使用$cast函数。在非法的情况下,不会编译报错,会返回了一个0.$cast做任务使用时,systemverilog会在运行时,检查源对象类型和目的对象类型不匹配,会报错;$cast 做函数使用时,运行时,仍做类型检查,在不匹配时,不会报错,$函数返回0.前面所述:基类句柄可以指向任何它的扩展类的对象、1 基类句柄指向扩展类对象出现情况:修改蓝图,不改正多代码,增加功能Transaction tr; /基类句柄BadTrbad;/扩展类句柄Bad = new;Tr= bad;/ 基类句柄指向扩展类对象tr.display;/掉用的是扩展类的方法2 扩展类句柄指向基类对象出现情况:基类virtual 方法copy函数,它的继承类中copy函数将基类句柄赋值给扩展类句柄,使扩展类句柄指向基类对象,一般编译器会出错,不能运行,所以非常小心;只有基类句柄指向扩展类对象时,再将扩展类句柄指向基类对象时,不出错。为了检测基类句柄是否指向了扩展对象,并且不让编译器报错,可以使用$cast()函数检测。当把扩展类句柄指向基类对象时,发生什么?Tr= new;Bad = tr;/扩展类句柄指向基类句柄上述会发生错误,编译不会被通过。因为有些属性在基类中不存在;但是扩展类句柄指向基类句柄不总是非法的见下面代码,是可以的,当基类句柄指向一个扩展类对象时是允许的。Transcation tr;BadTr bad,bad2;Bad= new;Tr = bad;/基类句柄指向扩展类对象$cast(bad2,tr);/扩展类句柄指向基类对象if(!$cast(bad2,tr);$display(“cannot assign tr to bad2);$display(bad2.bad_crc);l句柄类型和对象类型差异书中翻译的不准,type of handdle 和 object个人理解:Transaction tr;句柄tr类型是transaction句柄类型:关键字对象类型:类中成员的类型差异l虚方法和多态多态:多个程序使用一个共同的名字的现象。多态解决问题:计算机建构面临的一个问题。让物理内存很小的情况下,让处理器能够对很大的地址空间寻址。针对这个问题引入了虚拟内存。虚拟方法继承劣势:基类使用了虚拟方法,扩展类也必须使用一样的“签名,扩展类中虚拟子程序不能增加或删除参数,这意味着必须提前做好规划。l对象复制1因为是virtual 函数,扩展类中copy方法也必须是transaction型的,但是要copy的是badtr类型的,所以要new一个bad带有copy 的事物基类。Class transaction ;Rand bit31:0 src,dst,data8;Bit31:0 crc;Virtual function transaction copy ();Copy= new();Copy.src = s rc;Copy.dst = dst;Copy.data = data;= crc;EndfunctionEndclass带有copy的扩展类Calss badtr extends transactionRand bit bad_crc;Virtual function badtr copy();/错误Virtual function transaction copy();Badtrbad;Bad = new();Bad.src = src;bad.dst = dst;bad.data = data;= crc;Bad.bad_crc = bad_crc;Returnbad;Rendfunctionendclass$cast(bad,tr);/扩展类句柄指向基类句柄使用的情况: 因为virtual 函数,在继承中,虚拟函数必须和基类中名称和参数也一致。这样扩展类中copy_data函数参数仍然是transaction类型的tr,这样出现了参数是基类句柄,但是copy_data函数内要作确实实扩展类的成员,就要将基类句柄参数赋值给扩展类句柄,要将扩展类badtr类型的数据返回,所以必须用$cast(bad,tr)。2)优化途径二,最好的。前面的copy子程序都会创建一个新对象,改良的一种方法就是指定复制对象的存放地址。Virtual functiontransaction copytransaction to =null;if(to = null)copy = new();elsecopy = to;copy_data(copy);endfunctionl抽象类和纯虚方法背景:验证的目标之一是创建多个项目共享的代码。目的:systemverilog 有两种方法创建共享的基类:抽象类和纯虚方法Virtual class 抽象类:可以被扩展但是不能被直接例化。Purevirtual function纯虚方法:没有实体的方法原型,相当于一个声明。1)由抽象类扩展而来的类,只有在所以的虚拟方法都有实体的时候才能被例化,2)纯虚方法只能在抽象类中定义。3抽象类中,纯虚方法是没实体的,非纯虚方法最好也不写实体。l回调背景:测试平台目的:创建一个不做任何修改就能在所有测试中使用的验证环境。要做到这点的关键是测试平台使用钩子,什么是钩子?钩子作用,在不修改原始类的情况下注入新的代码。采用virtual 方法,也可以在扩展类中覆盖基类方法,但是需要重复原方法的所有代码,并且它的修改将传播到它的所有扩展类中。作用:回调就是一个钩子,在不修改原始类的情况下注入新的代码。实现:回调任务在顶层中创建,在最低级即驱动器中调用。这样驱动器不需要知道测试的任何信息,它只需要使用一个可以在测试中扩展的通用类。1使用回调注入干扰回调的一个常见用法就是注入干扰,例如引入一个错误或者延迟。下面测试平台使用回调对象,随机地丢弃数据包。扩展类是如何作用的?在扩展的回调类中注入错误,如何在驱动器中作用的?关键是数据队列的作用,驱动器中使用了,回调基类的数据队列回调基类是抽象类,在扩展的回调类中参加错误注入,而drive驱动类中,是回调基类的数据队列,在环境中将扩展类句柄让入驱动器类,回调基类的数据队列中。begin / Create error injection callbackDriver_cbs_drop dcd = new();env.drv.cbs.push_back(dcd); / Put into driverend与前面扩展类作用的差异?前面代码,要使扩展类中增加代码,需要使基类句柄指向扩展类句柄。l驱动器类:下面的代码如何解释2回调也可以想scoreboard 发送数据或收集功能覆盖率。优点:你可能想过将scoreboard和功能覆盖数据组置于一个事物处理器中,通过连接到测试平台中,这是一种笨拙的方法,原因如下:测试平台组件几乎都是被动和异步的,组件只有在测试平台给他数据的时候才被唤醒,而且不会主动地向下游事物处理器传递信息。麻烦:1这样一个需要同时监视多个的事物处理器复杂了;2你可能在多个地方采集数据,但是事物处理器设计用来处理单个数据源回调25 / 25
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!