【大学论文】基于单片机的脉冲频率测量系统的设计WORD档p37

上传人:沈*** 文档编号:78142147 上传时间:2022-04-21 格式:DOC 页数:37 大小:2.23MB
返回 下载 相关 举报
【大学论文】基于单片机的脉冲频率测量系统的设计WORD档p37_第1页
第1页 / 共37页
【大学论文】基于单片机的脉冲频率测量系统的设计WORD档p37_第2页
第2页 / 共37页
【大学论文】基于单片机的脉冲频率测量系统的设计WORD档p37_第3页
第3页 / 共37页
点击查看更多>>
资源描述
基于单片机的脉冲频率测量系统的设计 院 系: 机电与自动化学院 专 业 班:电气自动化技术1001班 姓 名: 学 号: 指导教师: 2013年5月基于单片机的脉冲频率测量系统的设计Pulse frequency measurement system based on single-chip design摘 要在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。随着微电子技术和计算机技术的迅速发展,特别是单片机的出现和发展,使传统的电子侧量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,形成一种完全突破传统概念的新一代侧量仪器。频率计广泛采用了高速集成电路和大规模集成电路,使仪器在小型化、耗电、可靠性等方面都发生了重大的变化。目前,市场上有各种多功能、高精度、高频率的数字频率计,但价格不菲。为适应实际工作的需要,本次设计给出了一种较小规模和单片机(AT89C51)相结合的频率计的设计方案,不但切实可行,而且体积小、设计简单、成本低、精度高、可测频带宽,大大降低了设计成本和实现复杂度。频率计的硬件电路是用Ptotues绘图软件绘制而成,软件部分的单片机控制程序,是以KeilC做为开发工具用汇编语言编写而成,而频率计的实现则是选用Ptotues仿真软件来进行模拟和测试。关键词:单片机 AT89C51 频率计 汇编语言IABSTRACT In the electronic field, frequency is a kind of most basic parameter, and all there are close relations in the measurement schemes of many other electric parameters and result of measuring. Because the signal anti-interference ability of frequency is strong, easy to transmit, can obtain higher measurement precision. So, the measurement of frequency seems particularly important, the research of the method is being paid attentionto. The Frequency meter, as one kind of the measuring instrument, often called the electronic counter, its basic function is that frequency and application of cycle Frequency meter of measuring the signal are in a very large range, it not only applies to general simple instrument measurement but also apply to other fields such as teaching, scientific research, high-accuracy instrument measuring, industrial control extensively. With the rapid development of microelectric technique and computer technology, especially appearance and development of the one-chip computer, the instruments have all changed enormously in such aspects as principle, function, precision and automatic level to enable the traditional electronic side amount, form a kind of side amount instrument of new generation that totally broke through the traditional concept. The Frequency meter has adopted the high-speed integrated circuit and large scale integrated circuit extensively, make the instrument change greatly in such aspects as miniaturize, power consumptive, dependability. At present, there are various digital Frequency meter of multi-function, high precision, high frequency on the market, but the price is high. In order to meet the need of the real work, design and provide one this time The design plan of Frequency meter combining with one-chip computer (AT89C51) on a small scale, not only feasible, and small, design simply, with low costs, the precision is high, can examine the bandwidth frequently, have reduced the design cost and realized complexity greatly. The hardware circuit of the Frequency meter is drawing with Ptotues mapping software, the one-chip computer control procedure of the software part, regarded KeilC as the developing instrument to write in AssemblyLanguage, but the realization of the Frequency meter was to select to carry on imitating and test with Protues artificial software.KeyWords:single chip computer T89C51 requency meter AssemblyLanguageIII 目 录摘要IABSTRACTII绪论11 方案论证31.1 数字频率计测量方法31.2 几种方案的优劣讨论41.3 本次设计采用的方案及选用依据52 系统硬件设计72.1 数字频率计的工作原理72.1.1 一般数字式频率计的原理72.1.2 基于单片机的数字频率计的原理72.2 电路原理图及其主要硬件部分82.3 放大整形电路82.4 电源电路模块92.4.1 电源电路的设计92.5 单片机92.5.1 AT89C51简介92.6 显示电路132.6.1 LED数码管工作原理132.6.2 LED动态扫描显示原理143 系统软件设计153.1 软件设计154 系统调试174.1 放大整形电路的仿真174.2 单片机电路的仿真184.3 误差分析20结论22致谢23参考文献24附录一 系统主电路图25附录二 程序代码26V绪 论数字频率计的主要功能是测量周期信号的频率。其基本原理就是用闸门计数的方式测量脉冲个数。频率是单位时间1s内信号发生周期变化的次数。如果我们能在给定的1s时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。频率测试是电子学中最基本的测量之一。 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字,显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号以及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精度高,显示直观,所以经常要用到数字频率计。数字频率计的主要实现方法有直接式、锁相式、直接数字式三种。直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。锁相式的优点是相位同步自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。直接数字式的优点电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。随着单片锁相式数字频率计的发展,锁相式和数字式容易实现系列化、小型化、模块化和工程化,性能也越来越好,已逐步成为两种最为典型,用处最为广泛的数字频率计。数字频率计可用纯硬件实现法(可选的器件有通用的SSI/MSI/LSI集成电路、专用集成电路、可编程逻辑器件等),也可用纯软件实现法(可选的平台有PC机、单片机、DSP器件等);一般考虑用软硬件相结合的实现法,但是实现的频率精度可能没有纯硬件实现的精确高。在电子测量领域中,频率测量的精确度是最高的,可达1010E-13数量级。因此,在生产过程中许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度、加速度,乃至各种气体的百分比成分等均用传感器转换成信号频率,然后用数字频率计来测量,以提高精确度。由于大规模和超大规模数字集成电路技术、数据通信技术与单片机技术的结合,数字频率计发展进入了智能化和微型化的新阶段。其功能进一步扩大,除了测量频率、频率比、周期、时间、相位、相位差等基本功能外,还具有自捡、自校、自诊断、数理统计、计算方均根值、数据存储和数据通信等功能。此外,还能测量电压、电流、阻抗、功率和波形等。国际国内通用数字频率计的主要技术参数:(1) 足够宽的测量范围。人们对频率测量的范围的追求是无止境的,在某些特殊的测试场合,要求频率计的测量范围足够宽,随着现代电子技术的发展,特别是高速芯片技术的发展,有些频率计数器能够直接测量。例如100GHz以上的频率,在机动车的防撞雷达和低功率通讯中继站就需要这种性能的频率计。(2) 高精度和高分辨率。精度是指测量的准确程度,即仪器的读数接近实际信号频率的程度,精度越高测量越准确。分辨率表明多么小的频率变化可能在仪器上显示出来。(3) 晶体振荡器的频率稳定度 晶体振荡器的频率稳定度,是决定频率计测量误差的一个重要指标。(4) 输入灵敏度 输入灵敏度是指在侧频范围内能保证正常工作的最小输入电压。科学技术发展越快,产品的更新周期就越短,数字化电子产品更是如此。数字频率计作为一种电子测量仪器,其发展趋势主要向以下三个方向发展。发展趋势之一:从以前的模拟器件设计数字频率计逐步转变为数字芯片设计数字频率计。这样的转变使得频率计的设计更趋于自动化、智能化。现在的电子产品主要是采用EDA技术和单片机技术作为核心控制系统,辅以外围电路,制成高端数字化产品。频率计正是朝着这个方向发展。发展趋势之二:在功能上从以前的仅实现单一频率测量扩展到还能测量周期、占空比、脉宽等各种参数指标。数字技术的不断成熟,使得在一块很小的板子上制作大规模、多功能的电子产品变得非常的容易、方便。当然,功能的实现是以强大的软件技术做后盾的。以后的频率计等测量仪器将在编程语言的不断优化下,数字技术的不断完善下实现更多的功能。30 1 方案论证1.1 数字频率计测量方法测量频率的方法很多,本次设计采用的是电子计数式。电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M法),脉冲周期测频法(T法),脉冲数倍频测频法(AM法),脉冲数分频测频法(AT法) ,脉冲平均周期测频法(M/ T法),多周期同步测频法。脉冲数定时测频法(M 法):此法是记录在确定时间 Tx内待测信号的脉冲个数 Mx,则待测频率为:Fx=Mx/Tx ,显然,时间 Tx 为准确值,测量的精度主要取决于计数 Mx的误差。其特点在于:测量方法简单;测量精度与待测信号频率和门控时间有关 ,当待测信号频率较低时 ,误差较大。脉冲周期测频法(T法):此法是在待测信号的一个周期 Tx内,记录标准频率信号变化次数 Mo。这种方法测出的频率是:Fx=Mo/Tx,此法的特点是低频检测时精度高 ,但当高频检测时误差较大。 脉冲数倍频测频法(AM法):此法是为克服M法在低频测量时精度不高的缺陷发展起来的。通过 A倍频,把待测信号频率放大A倍,以提高测量精度。其待测频率为:Fx= Mx/ATo。其特点是待测信号脉冲间隔减小 ,间隔误差降低;精度比 M法高A倍 ,但控制电路比较复杂。脉冲数分频测频法(AT法):此法是为了提高T法高频测量时的精度形成的。由于T法测量时要求待测信号的周期不能太短 ,所以可通过A分频使待测信号的周期扩大A倍,所测频率为:Fx=AMo/Tx,其特点是高频测量精度比T法高A倍;但控制电路也较复杂。 脉冲平均周期测频法(M/T法):此法是在闸门时间 Tc内,同时用两个计数器分别记录待测信号的脉冲数 Mx 和标准信号的脉冲数Mo 。若标准信号的频率为Fo ,则待测信号频率为:Fx = FoMx/Mo,M/T法在测高频时精度较高;但在测低频时精度较低。 多周期同步测频法:此法是由闸门时间Tc与同步门控时间Td共同控制计数器计数的一种测量方法,待测信号频率与 M/ T法相同。此法的优点是,闸门时间与被测信号同步,消除了对被测信号计数产生的1个字误差,测量精度大大提高 ,且测量精度与待测信号的频率无关,达到了在整个测量频段等精度测量。1.2 几种方案的优劣讨论方案一、传统的频率计。该系统测频部分采用中小规模数字集成电路,用机械式功能转换开关换挡,完成对不同频率的测量.该方案的特点是中小规模数字集成电路应用技术成熟,能可靠地完成频率计的基本功能,但由于完成功能所需元器件较多,电路过于复杂,而且多量程换挡开关使用不便。通道放大主门计数、锁存、显示电源门控晶体振荡源分频图1-1 方案一原理框图方案二、系统采用可编程逻辑器件(PLD,如ATV 2500)作为信号处理及系统控制核心,完成包括计数、门控、显示等一系列工作。该方案利用了PLD的可编程和大规模集成的特点,使电路大为简化,但此题使用PLD则不能充分发挥其特点及优势,并且测量精度不够高,导致系统性能价格比降低、系统功能扩展受到限制。晶体产生的高频信号由PLD进行的多级分频通道PLD计数及BCD译码显 示图1-2 方案二原理框图方案三、采用频率计模块(如 ICM7216)构成,特点是结构简单 ,量程可以自动切换。 ICM7216内部带有放大整形电路 ,可以直接输入模拟信号。外部振荡部分选用一块高精度晶振体和两个低温系数电容构成10MHz并联振荡电路。用转换开关选择 10ms ,0. 1s ,1s ,10s 四种闸门时间 ,同时量程自动切换。 ICM7216显示晶 振模拟信号图1-3 方案三原理框图方案四、系统采用MCS-51系列单片机AT89C51作为控制核心,门控信号由AT89C51内部的计数定时器产生,由于单片机的计数频率上限较低(12MHz晶振时约500KHz),所以需对高频预测信号进行硬件预分频处理,AT89C51则完成运算、控制及显示功能。由于使用了单片机,使整个系统具有极为灵活的可编程性,能方便地对系统进行功能扩展与改进。脉冲形成电路分频电路 主 控AT89C51单片机led显示闸门开关门控信号图1-4 方案四原理框图1.3 本次设计采用的方案及选用依据方案一采用的是中小规模数字集成电路,虽然能够实现频率的测量,但其功能扩展不易实现,智能化程度也不高,不符合目前数字频率计的发展要求。方案二利用了PLD的可编程和大规模集成的特点,使电路大为简化,但测量精度不够高,导致系统性价比降低,系统功能扩展受到限制。方案三的设计思路是非常简单的,电路也不复杂,但由于它采用的是专用频率计模块设计,不符合我们的设计要求,所以就不予考虑了。方案四由单片机构成的频率计可以将硬件部分的计数、锁存、译码等集成在一块单片机芯片上,由程序直接控制,电路简单、操作方便、响应速度快、体积小,并且能够可以及时准确地测量低频信号的频率。而本次设计的题目只针对02KHz的脉冲频率测量,采用单片机AT89C51作为控制核心,门控信号由AT89C51内部的计数/定时器产生的计数频率上限达到500KHz,足以满足设计要求,甚至可以省掉分频电路。采用电子计数式测量方法中的脉冲定时测频法,其具有精度高、测量范围宽、显示醒目直观、测量迅速以及便于实现测量过程自动化等优点。故本次设计选择方案四作为最终方案 2 系统硬件设计2.1 数字频率计的工作原理2.1.1 一般数字式频率计的原理所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率fx。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s。闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1s时间内的累计数,所以被测频率fx=NHz。2.1.2 基于单片机的数字频率计的原理单片机内部有两个定时/计数器T0和T1。在测量过程中我们利用这两个定时/计数器,其中T0用作定时,T1来计数外来脉冲数。单片机外接12MHZ的晶振,定时/计数器的最大定时时间是65.356ms,我们可以采用软件计数器来进行定时设计。先用定时/计数器T0制作一个50ms的定时器,定时时间到后将软件计数器中值加一当软件计数器到20,就可以实现定时1s。当定时结束时,定时/计数器T1计数的数送入显示电路,从显示电路中读出的总脉冲个数即是待测信号的频率值。该频率计硬件较为简单,但需要注意的是单片机所测量的电平信号必须是直流TTL信号,所以在测量前必须把非TTL信号转化为TTL信号。2.2 电路原理图及其主要硬件部分该方案中频率计由放大整形电路、单片机、LED显示器和电源电路构成。其中,信号的核心处理部分为单片机,由AT89C51构成。被测信号放大整形电路单片机 显示电源电路图2-1 方案二方框图由方框图可知,被测信号经放大整形变成单片机AT89C51所需要的脉冲信号,之后由单片机对信号进行处理,即计数、锁存和译码,随后将结果由LED显示。2.3 放大整形电路放大整形电路的必要性:因为在单片机计数中只能对脉冲波进行计数,而实际中需要测量的频率的信号是多种多样的,有脉冲波,还有可能有正弦波、三角波等,所以需要一个电路把待测信号可以进行计数的脉冲波。通过放大整形电路将正弦输入信号fx整形成同频率方波fo,幅值过大的被测信号经过分压器分压送入后级放大器,以避免波形失真。而小信号经过放大、整形通道电路来提高系统的测量精度和灵敏度。放大电路由3DG100和电阻电容组成,目的是将一定频率的周期信号进行放大。整形电路是由555定时器构成的施密特触发器,对放大器的输出波形进行调整使之成为矩形脉冲。放大和整形电路如图2-2图2-2 放大和整形电路2.4 电源电路模块2.4.1 电源电路的设计直流电源是通信系统中的必需设备,它的主要任务就是通过把交流系统整流出直流电,为通信系统的交换设备、传输设备等提供直流工作电源,其性能和质量的好坏直接关系到通信设备能否稳定运行。直流稳压电源一般由电源变压器、整流滤波电路及稳压电路组成。本电路主要应用整流系统和稳压器CW317 组成的电压源电路来实现最终设计,在此电路中,经过整流滤波和CW317自身的稳压作用,所以使电路的稳定性增加。本设计主要基于输出电压,范围设计,设计原理图2-3如下所示:图2-3 电源电路图2.5 单片机2.5.1 AT89C51简介单片机(Single-Chip-Microcomputer),又称单片微控器,是一种集成电路芯片,采用超大规模集成电路技术把具有数据处理能力(如算术运算、逻辑运算、数据传送、中断处理)的微处理器(CPU),随机存取数据存储器(RAM)、只读程序存储器(ROM)、输入/输出电路(I/O)、定时/计数器、中断系统、串行通讯口,可能还包括显示驱动电路、脉宽调制电路、模数转换等电路集成到一个半导体芯片上,构成一个最小而又完善的计算机系统。它们之间相互连接的结构框图如下图所示。这些电路能在软件的控制下准确、迅速、高效地完成程序设计者事先规定的任务。单片机结构上的设计,在硬件.指令系统及I/O能力等方面都有独到之处,具有较强而有效的控制功能。其结构图如下所示。图2-4 单片机结构图虽然单片机只是一个芯片,但无论从组成还是从其逻辑功能上看,都具有微机系统的含义。另一方面,单片机毕竟是一个芯片,只有外加所需的输入输出设备,才能构成实用的单片机应用系统。单片机有着微处理器所不具备的功能,它可单独完成现代工业控制所要求的智能化控制功能,这是单片机最大的特征。单片机的应用极为广泛,它涉及智能仪器仪表、工业控制、计算机网络和通信以及医用设备等领域。它以无与伦比的高性能、低价位赢得了广大电子开发者的喜爱。AT89C51是一种带4K字节FLASH存储器(FPEROMFlash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器。其主要特性:K字节可编程FLASH存储器;寿命:1000写/擦循环;数据保留时间:10年;全静态工作:0Hz-24MHz;三级程序存储器锁定 ;1288位内部RAM;32可编程I/O线;两个16位定时器/计数器;5个中断源;可编程串行通道;低功耗的闲置和掉电模式;片内振荡器和时钟电路。管脚说明:VCC:供电电压。GND:接地。P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口也可作为AT89C51的一些特殊功能口,如下所示:P3.0 RXD(串行输入口);P3.1 TXD(串行输出口);P3.2 /INT0(外部中断0);P3.3 /INT1(外部中断1);P3.4 T0(记时器0外部输入);P3.5 T1(记时器1外部输入);P3.6 /WR(外部数据存储器写选通);P3.7 /RD(外部数据存储器读选通)。P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。振荡器特性:XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。AT89C51管脚如图2-5 AT89C31单片机管脚:图2-5 AT89C31单片机管脚2.6 显示电路2.6.1 LED数码管工作原理LED数码管根据LED的接法不同分为共阴和共阳两类,图2-6是共阴和共阳极数码管的内部电路,它们的发光原理是一样的,只是它们的电源极性不同而已。将多只LED的阴极连在一起即为共阴式,而将多只LED的阳极连在一起即为共阳式。以共阴式为例,如把阴极接地,在相应段的阳极接上正电源,该段即会发光。当然,LED的电流通常较小,一般均需在回路中接上限流电阻。假如我们将b和c段接上正电源,其它端接地或悬空,那么b和c段发光,此时,数码管显示将显示数字“1”。而将a、b、d、e和g段都接上正电源其它引“2”。 图2-6 共阴极LED显示管2.6.2 LED动态扫描显示原理LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留象,只要扫描的频率大于50Hz,将看不到闪烁现象3 系统软件设计3.1 软件设计 软件编程部分是设计的电路能否成功的关键。因为单片机具有编程和自动运算的功能,所以产品中有很多的功能都是通过软件的形式实现的。数字频率计的系统软件设计采用模块化设计方法。整个系统由初始化模块、定时器中断服务模块、信号周期测量模和LED显示模块。初始化模块主要是对进行初始定时器/计数器T0、T1和中断源的初始化。定时器中断服务模块是本次设计的重点。T0设置为定时器方式1,T1设置为计数器方式1;当待测信号到来,用单片机外部两个终端INT0和INT1来开始对定时计数器T0计时和T1计数。本次设计单片机采用内部时钟方式,接12MHz的晶振,定时/计数器T0工作在定时状态下,最大定时时间为65.536ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。对于频率的概念就是在一秒只数脉冲的个数,即为频率值。所以T1工作在定时状态下,每定时1秒中到,就停止T1的计数,而从T1的计数单元中读取计数的数值,然后进行数据处理。送到LED显示出来。初始化开始等待待测信号对待测信号放大整形、分频启动T0、T1定时时间到1sT0停止计时T1停止计数计算频率送出显示结束3.1 软件流程图4 系统调试4.1 放大整形电路的仿真首先根据设计的原理对软件进行调试,确保所写程序的正确性,软件调试成功后则可进入硬件调试阶段。调试工作的主要任务是排除样机故障,包括设计错误和工艺故障。焊接无误后,可分别调试。首先用万能表或逻辑则试笔逐步按照逻辑图检查电源电压及各引脚的线路是否接好、接正确。对各个元器件型号、管脚、量程、大小和极性进行检查,并检查电路中是否有短路或断路等故障。另外,每一级的地线、电源线应尽可能的接在一起,连接线要尽可能的短,功放级应该尽量远离输入级,这样既可以节省材料,又可以防止产生自激现象。电路的调试过程一般是先分级调试,再级联调试,最后进行整机调试与性能测试。放大整形电路的仿真结果如图4-1所示:a)输入正弦波信号时(b)输入方波信号时图4-1 放大整形电路仿真结果图a)为输入正弦波信号时的情况,由仿真结果看出输出为矩形脉冲信号,调节滑动变阻器的阻值可以改变输出方波信号的占空比。其中,放大整形电路所提供的直流电源为+5V,幅度为10V,频率为1KHz,输出结果如上。图b)为输入方波信号时的情况,由仿真结果看出输出为矩形脉冲信号。其中,直流电源为+5V,频率为1KHz,输出结果如上所示。由仿真结果看出,所设计的放大整形电路满足设计要求,具体参数可以根据实际情况改变,但输入信号的峰峰值要求不大于30V。由于元件库中没有锯齿波信号输入,所以设计中没对锯齿波信号输入的情况进行仿真,但根据理论分析是完全能够实现的。4.2 单片机电路的仿真在Protel软件上对电路进行仿真调试。分别将2Hz、200Hz。2kHz的正弦脉冲作为测试信号,如图4-1,a为2Hz的时候,b为200Hz的时候c为2kHz的时候,从仿真图中可以看出该电路系统可以正确测量出测试信号的频率,符合论文题目要求。a)输入信号频率为1Hz时b)输入信号频率为200Hz时c)输入信号频率为2KHz时图4-2 Protel仿真结果4.3 误差分析数字频率计测量数据时,由于各种原因,不可避免地将产生误差。误差的大小将直接影响到产品性能的好坏,因此最大限度地减小测量误差是大多数数字测量仪器的目的。数字频率计测量的误差由计数误差(),时标信号的误差()和被测信号噪声引起的触发误差()三部分组成,即。 无论闸门时间长短,计数法测频总存在1个单位的量化误差,即计数误差为1。通过计数器直接测频时的闸门时间和测周期时的时标脉冲都是由石英晶体振荡器的输出经过分频或倍频得到的。因此,测频时的闸门时间误差和测周期时的时标信号误差就是时基误差,也就是计数器内石英晶体振荡器的频率误差。通用计数器在测量周期时,由于被测信号叠加有噪声,当被测信号由施密特触发器整形成方波进入下一级电路时,信号上叠加的噪声会使电路的触发时刻提前或滞后,从而带来测量误差。此测量误差即为触发误差。减小误差的方法:(1) 在实际测量中,增加显示的有效数字位数可降低计数误差对直接测频法和测周期法的影响。(2) 减少时基误差的措施使用性能更好的外部频率标准;使用前对石英晶体振荡器进行校准;(3) 减少触发误差的措施提高被测信号的信号噪声比;增加测量时间。结 论本文介绍了一种基于单片机AT89C51制作数字频率计的设计方法。其测量原理非常简单,硬件电路制作方便,软件编程易于实现,所测得的频率范围较宽,精度较高,平均相对误差1%,是在允许的测量误差范围内。此次设计的数字频率计达到了测量频率的目的,但在实际制作和测试过程中,由于自己知识有限,时间短和经验不足等原因,还是出现了一些问题和需要继续改进、完善的地方。在编写程序时,闸门时间没能准确地微调至1秒,致使测量的误差比理想的要大。由于单片机内部具有丰富的存储资源和强大的数据处理能力,因此采用单片机设计的数字频率计只需要改动很少的硬件部分就可以和其他的自动化仪表组成多功能控制系统,测量速度得到提高,用于连续测量的控制系统是非常有价值和意义的。致 谢光阴似箭、日月如梭,三年的大学学习很快就要过去了,在论文即将完成之际,我衷心的感谢所有指导、关心和帮助我的老师、同学和朋友。本设计的完成是在我的导师XX老师的细心指导下进行的。在每次设计遇到问题时老师不辞辛苦的讲解才使得我的设计顺利的进行。从设计的选题到资料的搜集直至最后设计的修改的整个过程中,花费了胡老师很多的宝贵时间和精力,在此向导师表示衷心地感谢!导师严谨的治学态度,开拓进取的精神和高度的责任心都将使学生受益终生!还要感谢和我同一设计小组的几位同学,是你们在我平时设计中和我一起探讨问题,并指出我设计上的误区,使我能及时的发现问题把设计顺利的进行下去,没有你们的帮助我不可能这样顺利地结稿,在此表示深深的谢意。参考文献1 刘大茂.智能仪器.北京:机械工业出版社,1998.52 徐建军.MCS-51系列单片机应用及接口技术.北京:人民邮电出版社,2003.63 谢淑如.Protel PCB 99 SE电路板设计.北京:清华大学出版社,2001.74 刘文涛.单片机语言程序设计.北京:原子能出版社,2004.75 李花. MCS-51 系列单片机实用接口技术. 北京:北京航空航天大学出版社, 2000.6 范风强. 单片机语言 C51 应用实战集锦.北京:电子工业出版社, 2005.7 窦振中. 单片机外围器件实用手册存储分册. 北京:北京航空航天大学出版社, 2002.8 黄智伟. 全国大学生电子设计大赛系统设计. 北京:北京航空航天大学出版社 2006.129 张洪润 刘秀英 张亚凡. 单片机应用设计200例(下册).北京:北京航空航天大 学出版社10 林志琦、郎建军、李会杰、佟大鹏.基于Proteus的单片机可视化硬件仿真.北京: 北京航空航天大学出版社,2006.911 周润景、袁伟亭、景晓松.Proteus在MCS51和ARM7系统中的应用百例.北京: 电子工业出版社,2006.10.12 全国大学生电子设计竞赛获奖作品汇编.北京:北京理工大学出版社,2004.813 李勇 数字频率计的测量误差.宜宾学院学报,2010.614 尹国光 基于单片机的数字频率计的设计和仿真.天津工业大学学报,2008.315 高明华 简易数字频率计的设计.大众科技,2006年第二期16 王捷、艾红 数字频率计分频电路设计.计算机测量与控制2003.11第二期17 张国兴 用单片机制作数字频率计.电子制作2005年第二期 附录一 系统主电路图 附录二 程序代码ORG 0000H JMP MAIN ;主程序开始 ORG 000BH JMP TIMER_INT ;定时器T0中断服务程序 ORG 001BH ;定时器T1中断服务程序 JMP TIMER1 ORG 0030H-MAIN: MOV SP,#60H ;设置SP指针 LCALL PRO_SET ;初始化 CALL SET_led LCALL TIM_T0初始化程序-PRO_SET: MOV A,#00H MOV B,#00H MOV 2AH,A MOV P0,#0FFH MOV P1,#0FFH MOV P2,#0FFH MOV INT_H,#00H MOV INT_L,#00H MOV INT_G,#00H MOV T_S,#00H MOV T_H,#00H MOV T_M,#00H MOV T_G,#00H MOV TIMCOUNT,#00H MOV TIMER_H,#04CH ;定时 50 MS MOV TIMER_L,#10H ; CLR BEEP SETB P3.5 ;P3.5端口置输入状态 RET ;T1(TIMER1的外部输入脚)-INT0中断服务子程序*INT0_SERV: CLR EX0 JB DOING,INT0_NEX1 SETB TR0 SETB TR1 SETB DOING RETI INT0_NEX1: CLR TR0 CLR TR1 SETB FINISH RETI T0中断服务子程序T0_SERV: INC n SETB EX0 RETIINT1中断服务子程序INT1_SERV: JB DOING,INT1_NEX1 SETB TR0 SETB DOING RETI INT1_NEX1: CLR TR0 CLR EX1 SETB FINISH RETI T1计数器中断服务子程序计T1计数器溢出次数-TIMER1: INC 2AH RETI-T0定时一秒子程序START: MOV TMOD, #51H;/*01010001 T1计数,T0定时*/ MOV TH0, #HIGH(65536 - 50000) ;50ms12MHz MOV TL0, #LOW (65536 - 50000) MOV TH1, #0 MOV TL1, #0 SETB TR0 SETB TR1 SETB ET0 SETB EA MOV R7, #20 SJMP $-T0_INT: ;50ms执行一次 MOV TL0, #LOW (65536 - 50000) ;重新写入初始值 MOV TH0, #HIGH(65536 - 50000) ;50ms12MHz DJNZ R7, T0-100MS显示一次。-MAIN1: MOV R5,40MAIN2: ACALL DELAY1 ;3ms DJNZ R5,MAIN2 ACALL SBIN_SBCD CALL CONV JMP MAIN1INIT_led: MOV A,#38H ; CALL WCOM CALL DELAY1 MOV A,#38H CALL WCOM CALL DELAY1 MOV A,#38H CALL WCOM CALL DELAY1 MOV A,#0CH ;开显示,关光标, CALL WCOM CALL DELAY1 MOV A,#01H ;清除 led 显示屏 CALL WCOM CALL DELAY1 RET- LC1: CALL WDATA ;写入数据 INC DPTR ;指针加1 JMP FILL ;继续填入字符 RET-CLR_LINE: ;清除该行 led 的字符 MOV R0,#24 CL1: MOV A,# CALL WDATA DJNZ R0,CL1 RET-写指令、数据使能子程序-ENABLE: ;写指令使能 CLR led_RS ;RS=L,led_RW=L,D0-D7=指令
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!