可编程电子音乐演奏电路

上传人:无*** 文档编号:241991154 上传时间:2024-08-09 格式:PPT 页数:67 大小:349.50KB
返回 下载 相关 举报
可编程电子音乐演奏电路_第1页
第1页 / 共67页
可编程电子音乐演奏电路_第2页
第2页 / 共67页
可编程电子音乐演奏电路_第3页
第3页 / 共67页
点击查看更多>>
资源描述
,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,*,电子电路课程设计,可编程电子音乐自动演奏电路,卢庆莉 编写,8/9/2024,一、课程概况简介,二,、,课题技术指标,三,、,设计提示,四,、,预习要求,主要授课内容,:,8/9/2024,一、课程概况简介,课程名称,:,电子电路课程设计,(,32,学时,两周),课程性质,:,必修,(,2,学分),教 材,:,电子电路课程设计,张豫滇编,教学目的,:,1.,提高模拟电路、数字电路理论和实验的综合能力。,2.,掌握综合型电子电路的设计、装配和调测方法。,3.,掌握电子元器件资料和电路资料的检索方法。,4.,提高设计报告的撰写能力。,5.,全面培养学生科技工作素质。,8/9/2024,教学进程:,1.,设计要求和提示,(在实验室教师授课,半天),查阅资料、设计电路,(同学独立完成,,1,天半),。,2.,讲述装配方法和调测要求,(,2,学时),。,3.,调测,(第一周星期三至第二周星期三),。,4.,验收,(第一周星期三至第二周星期四),。,5.,撰写报告,(第二周星期五),、讲评、收尾。,8/9/2024,教学方法:,1.,教法:,在实验室集中,分,3,次讲解。,*,电路设计提示。,*,装配要求、调测方法。,*,实验报告撰写要求。,辅导实验、最后逐一验收。,2.,学习方法:,*,认真自学,电子电路课程设计,的第一至第五章,。,*,独立完成设计。,*,独立装配、调测、撰写设计报告。,8/9/2024,课程纪律:,1.,遵守作息时间:上午,8,:,0011,:,30,下午,1,:,304:30,2,.,缺少实验达三分之一以上无成绩,必须重修。,3.,设计报告必须手写,不得用打印机打印。,4.,预习报告和设计报告抄袭他人者,报告成绩按,0,分论处。,5.,迟到、早退,3,次成绩降档。,8/9/2024,成绩评定:,1.,评分项目:预习报告、装配水平、调测水平、完成指标、报告。,2.,成绩分档:优秀、良好、中等、及格、不及格、不及格必须重修,没有补考。,8/9/2024,二、,课题技术指标,2.1,设计课题名称,可编程电子音乐自动演奏电路,2.2,技术指标,(P182,课题二十七,),1,、系统功能要求,可编程电子音乐自动演奏电路可以通过开关选择预先设定好的音乐曲目,曲目选定后则自动演奏所选曲目。,8/9/2024,2,、系统结构要求,可编程电子音乐演奏电路的系统结构要求如图,1,所示。图中,K1,用于选择预先设置在电路中的乐曲,选中某一乐曲后对应的发光二极管亮,音乐演奏电路反复自动演奏所选的乐曲,经功率放大后由喇叭播出,直至选中下一首为止。,8/9/2024,3,、基本技术指标,(,1,)乐曲要求,乐曲数目,3,首。,每首乐曲长度,20,S,30,S,。,所选择的乐曲应在,4,个,8,度内,以第,6,个,8,度作为最高的,8,度。,乐曲演奏速度为,100,拍,/min,120,拍,/min,。,8/9/2024,(,2,)演奏要求,用,1,个自复键,K,1,选择所需的乐曲,用,3,个,LED,表示选中对应乐曲,当,3,个,LDE,均不亮时,表示没有选中,电路没有乐曲输出。,一旦选中某一首乐曲,电路将自动循环放送所选的乐曲。,8/9/2024,(,3,)电气指标,音频功放输入为方波。,音阶频率误差,E5,生。,负载(喇叭)阻抗为,8,,功率为,1/8W,(也可采用蜂鸣器)。,输出音量可调。,8/9/2024,4,、选做指标,加入颤音效果。,5,、设计条件,电源条件:使用,+5V,电源。,电路设计不允许采用试凑法,必须采用系统设计方法画出算法流程图、,ASM,图,并依照,ASM,图设计处理器和控制器。,加入节拍的强弱变化。,8/9/2024,序号,元件型号,数量,序号,元件型号,数量,1,1/8W,喇叭,1,11,74161,2,2,LED,发光二极管,3,12,74163,2,3,10K,电位器,1,13,74393,1,4,7400,1,14,74174,1,5,7404,1,15,GAL 16V8,1,6,7420,1,16,28C64B,1,7,7474,2,17,LM555,2,8,74132,1,18,单刀单位自复开关,1,9,74138,1,19,分立元件自备,10,74153,1,20,特需元件临时领用,21,LM386,1,6,、元件清单,8/9/2024,三、设计提示,1,、,电子乐器信号的简述,电子乐器是一种应用电子技术模仿各种乐器的声音(例如,钢琴、笛子、提琴、锣鼓等)的乐器。模仿各种乐器的基本原理是:先将某种乐器的声音转换为电信号,再分析该乐器的电信号的波形和频谱,利用电子技术产生与该乐器相仿的电信号。,电子乐器所模仿的各种乐器时所产生的电信号具有各自不同的特点,若对电子乐器所模仿的各种乐器的电信号进行分析,其区别主要是频谱的不同。,(一)、音乐常识的补充说明,8/9/2024,在演奏电子乐器时,除了演奏员在情感上的处理之外,仅从乐器发出的信号电特性而言,其表现力主要体现在四个方面:音高(基本频率)、长短(也称音的时值,指某一频率持续的时间)、强弱(信号的电压幅度或输出功率)和音色(信号的波形和频谱)。本课题是一种简易的电子乐器,它没有模仿特定的乐器,音色单一。演奏时它所产生的信号是方波,其波形是占空比为,50%,的脉冲波,频谱仅含基频与其偶次谐波。所以,在设计本课题时,在保证输出信号为方波的前提下,主要考虑如何用电子电路控制音高(频率)、长短(音的时值)和强弱,(,信号幅度,),电子乐器信号这三方面的基本特性。,8/9/2024,2,、乐器的标准频率说明,人的对音调(频率)辨别力不是线性的,当频率由,40HZ,变到了,50HZ,,人们很容易察觉到有,10HZ,的频率差,如果频率由,4000HZ,变到,4010HZ,,虽频率其差仍为,10HZ,,但人们几乎听不出有任何差异。实验证明,人们对音调高低度及响度两者的感觉都是对数关系。,根据人们对声音的听觉特性以及电子乐器发生的特性,人们可以将乐器的整个音域范围内分成,108,个音高,相邻两个音高的频率比为,1,:。,8/9/2024,如果两个信号的频率值相差,1,倍则称为两个音相差,八度。,将,108,个音高分为,9,组八度,音程,(,0,8,),每个八度音程里包括,12,个,音调,(,C,、,#C,、,D,、,#D,、,E,、,F,、,#F,、,G,、,#G,、,A,、,#A,、,B,),如表,1,所列,相邻之间的音调差别称为,半音,,表中,“,#,”,号为半音符号。表,1,中为了使用方便,将钢琴键盘中央一组的八度称为小字一组,该组的第一个键的音名称为,中央,C,,这一组音调,A,的频率为,440HZ,。国际上将,440HZ,作为,标准音高,。,8/9/2024,表,1,:十二音调等调整音阶标准频率表,八度音编,号,音调,(,Hz,),C,#C,D,#D,E,F,#F,G,#G,A,#A,B,0,16.351,17.324,18.354,19.445,20.601,21.827,23.124,24.499,25.956,27.50,29.135,30.867,1,32.703,34.648,36.708,38.891,41.203,43.654,46.249,48.999,51.913,55.00,58.270,61.735,2,65.406,69.296,73.416,77.782,82.407,87.307,92.499,97.999,103.83,110.00,116.54,123.47,3,130.81,138.59,146.83,155.56,164.81,174.61,184.99,195.99,207.65,220.00,233.08,246.94,4,261.62,277.18,293.67,311.13,329.63,349.23,369.99,391.99,415.31,440.00,466.16,493.88,5,523.25,554.36,587.33,622.25,659.26,698.46,739.99,783.99,830.61,880.00,932.32,987.76,6,1046.5,1108.7,1174.7,1244.5,1318.5,1396.9,1479.9,1567.9,1661.2,1760.00,1864.7,1975.5,7,2093.0,2217.5,2349.3,2489.0,2637.0,2739.8,2959.9,3135.9,3322.4,3520.0,3729.3,3951.1,8,4186.0,4434.9,4698.6,4978.0,5274.0,5587.7,5919.9,6271.9,6644.9,7040.0,7458.6,7902.1,如表,1,所列,相邻之间的音调差别称为半音,表中“,#”,号为半音符号。表,1,中为了使用方便,将钢琴键盘中央一组的八度称为小字一组,该组的第一个键的音名称为中央,C,,这一组音调,A,的频率为,440HZ,。国际上将,440HZ,作为标准音高。,8/9/2024,根据两个相邻音阶的频率比,M=1.0595,的关系,可以推算出中央,C,组,12,个音调对应的频率,再根据相邻组同名音调的音阶相差八度(频率相差,1,倍)的关系,便可以推算出,108,个音高所对应的所有频率。,电子乐器输出的信号频率总是有一定的误差的,为了衡量各个音高所对应的频率是否准确,在电子乐器中引入了称为“生”的单位。将半音分为,100,份,则每份称为,1“,生”。质量较好的电子乐器,频率偏差在,1“,生”以下。,8/9/2024,3,、音名和唱名的说明,音名和唱名之间的对应关系如表,2,所示。音名的音高是固定不变的,一切乐器和人声发出的,C,音,,D,音等,其音高都相同。唱名的高度则根据调号的不同而异。例如,对于调号,1=C,来说。把,1,(,do,)唱成和,C,音一样高,,3(mi),唱成和,E,音一样高,,音名,C,D A,B,七个音的相互高低关系是一致的。音名的,EF,,,BC,是半音,其余为全音。唱名的,34,,,7,是半音,其余为全音。所以对,1=C,而言,音名,C,、,D,、,E,、,F,、,G,、,A,、,B,七个音分别唱成,1,、,2,、,3,、,4,、,5,、,6,、,7,。而对其它各调来说,各个唱名的高度就要发生变化。例对调号,1=D,来说,把,1,(,do,)唱成和,D,音一样高,,2,(,r,)唱成和,E,音一样高,而,3,(,m,)唱成和,#F,音(而不是,F,音)一样高,,依此类推。因此只要知道调号就可得到音名和唱名的对应关系。,8/9/2024,表,2,:音名与唱名的对应关系举例,低八度,高八度,8/9/2024,以钢琴键举例的说明:,钢琴琴键由,9,组八度音程组成(即:,9,7=63,个白键,,9,5=45,个黑键),,构成,108,个音高。其中,,1,)黑与白之间相差半个音高。,2,),E,和,F,键之间相差半个音高。,3,),B,和,C,键之间相差半个音高。,8/9/2024,以,1=C,为例:,8/9/2024,以,1=D,为例:,8/9/2024,4,、如何确定乐曲与频率的关系说明,确定调号:,如,1=C,找出该调号下唱名,1 2 3 4 5 6 7,所对应的音名,再根据音名找出,1,7,对应的频率,将乐曲简谱中的唱名用对应的频率替换,相邻频率分频比为,1.059,如直接控制分频比,则电路比较复杂,可以采用预置数的方法得到所需的一个八度中的各个音高频率,两个八度之间分频比为,2,由分频得到其他八度的音高频率,.,8/9/2024,5,、音的长短和休止符说明,简谱中用短横线表示音的长短,不带短横线的基本音符为四分音符,例如:,5,。,短横线在基本音符右侧时称为增时线,每增加一个增时线表示延长一个四分音符,例如:,5,。,短横线在基本音符下面时称为减时线,每增加一条减时线表示原来的音缩短一半的时间,例如,,5,。,此外,还可以用附点表示音的长短,附点表示延长其前面音值的一半。例如,,5.=5+,5,。,8,分音符,,5,。,16,分音符,,5,。,休止符,0,8/9/2024,6,、音乐的速度、节奏与节拍的说明,乐曲演奏的快慢称为速度。一首,2/4,拍的乐曲,速度不同,其演奏所需的时间也不同。五线谱中用,J=120,表示以,4,分音符为一拍,,1,分钟演奏,120,拍。简谱中乐曲演奏速度常用“快速”“慢速”等词语表示,也可用为每分钟多少拍子来定义。,8/9/2024,节奏和节拍在音乐中是同时并存的,它们以音的长短、强弱及其相互关系的固定性和准确性来组织音乐。从狭义的观点来定义,音的长短关系称为节奏。带重音和不带重音的在同样的时间片段按照一定的次序循环重复称为节拍。,例如:,某只乐曲为,4/4,拍,其一个小节中重音变化的要求是,“强拍、弱拍、次强拍、弱拍”,。,8/9/2024,(二)设计提示,1,、整体方案的设计提示,1),整体电路结构,可参考图,1,所示的整体电路结构,将整体电路分为“选曲电路”、“音乐演奏电路”和“功率放大”三个主要部分。,8/9/2024,在设计电路之前,应选择,3,首乐曲,乐曲的音域应尽量符合指标要求,在四个八度内。,考虑基本技术指标时,主要考虑如何产生乐曲所要求的所有音的频率以及如何控制音的长短。根据一个八度组内有,12,个音阶的特点,相邻两个八度组同名音名为八度关系(即,频率为,2,倍关系)的特点,可以用图,2,或图,3,方框图,产生,12,个音阶以及八度变化,使输出信号的频率,fo,为唱名所对应的频率值。,2,)音阶信号产生方案提示,8/9/2024,演奏电路方案的设计提示:,8/9/2024,2,、振荡电路的设计提示,振荡电路应能产生,1,个时钟信号,CP,,选择,CP,的频率时应考虑经过,12,音阶分频电路和八度分频电路后,输出信号频率,f,o,应满足要求。同时还应产生一个节拍信号。,振荡电路,根据以上的要求并考虑到乐曲的音域应在,4,个,8,度内,即:乐曲的所有音域在第,3,、,4,、,5,和,6,个,8,度内,选择对第七个,8,度的,C,调进行分频,用,8,位计数器时,分频比为,1,:,256,。换句话说就是取第,7,个,8,度内最低频率,2093Hz,,再乘以,256,(即:用两级,74161,级联后的计数器模值),这样就得到了应该产生的振荡器的频率,535808Hz,。,8/9/2024,振荡电路:,74132,、,103,和,10K,8/9/2024,3,、,12,音阶分频电路的设计提示,(,1,),工作原理,根据人们对声音的听觉特性及电子乐器发生的特性,人们将乐器的整个音域范围内分成,108,个音高,相邻两个音高的频率比为:,M=1.0595,。如果两个信号的频率值相差,1,倍,则称为两个音相差八度。将,108,个音高分别分为,9,组八度音程(,0,8,),每个八度音程里包括,12,个音调(,C,、,#C,、,D,、,、,B,)。,如果选择采用的是图,2,演奏方案一,因此先利用计数器计数的方法产生一个度的,12,个音阶频率中的一个。实现的方法是采用置最小数法。计数初值可以通过以下公式计算:,(计数初值),10,=A-(,f,ci,/f,i,),255,其中,A=256,,,i=7,6,0.,8/9/2024,(计数初值),10,=A-(,fci/fi,)255,其中,A=256,,,i=7,6,0.,例如以,第,7,个,8,度为例:,f,ci,=2093Hz,f,i,=2093,则,(计数初值),10,=,1,f,ci,=2093Hz,f,i,=2217.5,则(计数初值),10,=,15,f,ci,=2093Hz,f,i,=3951.1,则(计数初值),10,=,121,8/9/2024,(2)12,音阶分频电路的设计提示,音阶分频电路采用两片,74161,进行同步级联构成,一个,8,位计数器。由于计数器的计数初值不同即:有,12,种。因此,第二级,74161,的,Q,CC,端子出来的脉冲也有,12,种,即,12,种计数初值可使计数器产生出,12,种不同的音阶频率。电路图如下:,8/9/2024,4,、八度分频电路设计,(,1,)工作原理,两个八度信号就是,2,分频的关系,,4,个八度就是,4,个有,2,分频关系的信号。将十二音阶分频电路中输出即:第二级,74161,的输出,Q,CC,作为计数器,74163,的,CP,就可以分频,,74163,的,Q,0,端的输出为,2,分频,,Q,1,端输出为,4,分频,,Q,2,端输出为,8,分频,,Q,3,端输出为,16,分频。通过,4,选,1,数据选择器及,八度控制码(来至,E,2,PROM,的,I/O,5,和,I/O,4,)进行八度分频。,8/9/2024,(,2,)电路设计,8/9/2024,5,、,音长控制电路的设计,设计,指标中要求乐曲演奏速度为,100,120,拍,/min,,为设计方便,规定乐曲演奏速度为,120,拍,/min,。由于,4,分音符为一拍,若以,16,分音符为基准,那么一分钟就要演奏,480,个,16,分音符,每个音符演奏,1/8S,。因此,需要设计一个频率为,8Hz,的振荡电路。,利用,555,定时器来实现,8Hz,的振荡器。,8/9/2024,8Hz,的振荡器的设计:,8/9/2024,6,、音调控制码译码电路的设计,(,1,)实现原理,音调控制码译码电路可以通过,对,GAL16V8,进行编程实现。,GAL16V8,内应存储对,E,2,PROM,内乐曲的代码的解码程序,相当于一个译码器,译码产生相应的计数初值(,8,位),送至十二音阶分频电路。由公式:,(计算初值),10,=256-255,(,T,ci,/T,i,),其中,,(i=7,6,5,0),由公式可以计算出,12,个音符预置十进制数,并且转化为,16,进制。若以,C,7,为例,计算结果如表所示。,(计算初值),10,=256-255,(,2093/f,),8/9/2024,8/9/2024,经卡诺图化简后:,F,7,=0,;,F,6,=D,3,&!D,2,#!D,3,&D,2,&D,1,;,F,5,=!D,3,&D,2,&!D,1,#!D,2,&D,1,&D,0,#D,3,&!D,2,&D,0,#D,3,&!D,2,&D,1,;,F,4,=!D,3,&D,2,&!D,1,#!D,3,&D,2,&D,0,#D,3,&!D,2,&D,1,#D,3,&!D,2,&!D,0,#D,1,&!D,2,&!D,0,;,F,3,=!D,3,&!D,2,&!D,1,#D,3,&!D,2,&D,0,#!D,3,&!D,1,&D,0,#!D,3,&!D,2,&D,1,#!D,3,&D,1,&!D,2,;,F,2,=!D,3,&D,2,#!D,3,&!D,1,&!D,0,#!D,3,&D,1,&!D,0,#D,3,&!D,2,&!D,1,&!D,0,;,F,1,=!D,3,&!D,2,&D,0,#!D,3,&D,1,&D,0,#!D,3,&D,2,&!D,1,&!D,0,#D3&!D2&!D1&!D0,;,F,0,=!D,2,&D,0,#!D,3,&!D,1,&D,0,#D,3,&!D,2,&D,1,&!D,0,;,8/9/2024,7,、功放,电路的设计提示,用,LT084,构成放大器,,如图所示。,说明:,当选中,Y,0,时,放大器不发声。,当,I/O,6,为,0,时,即:有休字符时,放大器也不发声。,8/9/2024,9,、乐曲编码,的提示,本次课题设计是“可编程”电子音乐。因此,先将预先送好的,3,首乐曲存储在,EEPROM,中,然后通过不同的地址将相应的乐曲读出演奏。,8/9/2024,存储器的存储内容就是乐曲演奏的控制码,a,、用四位二进制,数的不同组合代表,12,音阶。,b,、用二位二进制,数代表四个,8,度。,分度,6,度,5,度,4,度,3,度,代码,00,01,10,11,c,、,强,(10),,次强,(01),,弱,(00),8/9/2024,课题提供的存储器:,28C64,,每个存储字单元有,8,位。,8,位的安排如下:,一首曲目的结束符号定义为全,(1),。,D7,D6,D5,D4,D3,D2,D1,D0,强弱,8,度,12,音阶,8/9/2024,乐曲编码举例,8/9/2024,编码:,E4,E4,E4,E4,E7,E7,E7,E7,E0,E0,E0,E0,E0,E0,E0,E0,E2,E2,E2,E2,E4,E4,E4,E4,F7,F7,F7,F7,F7,F7,F7,F7,E0,E0,E0,E0,E2,E2,E2,E2,E4,E4,E4,E4,E7,E7,E7,E7,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E4,E4,E4,E4,E7,E7,E7,E7,E0,E0,E0,E0,E0,E0,E0,E0,E2,E2,E2,E2,E4,E4,E4,E4,F9,F9,F9,F9,F9,F9,F9,F9,E2,E2,E2,E2,F7,F7,F7,F7,E2,E2,E2,E2,E4,E4,E4,E4,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E9,E9,E9,E9,E9,E9,E9,E9,E7,E7,E7,E7,E7,E7,E7,E7,E9,E9,E9,E9,E9,E9,E9,E9,E0,E0,E0,E0,E0,E0,E0,E0,E4,E4,E4,E4,F9,F9,F9,F9,E4,E4,E4,E4,E7,E7,E7,E7,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E2,E4,E4,E4,E4,E7,E7,E7,E7,E0,E0,E0,E0,E0,E0,E0,E0,E2,E2,E2,E2,E4,E4,E4,E4,F9,F9,F9,F9,F9,F9,F9,F9,E2,E2,E2,E2,F7,F7,F7,F7,E2,E2,E2,E2,E4,E4,E4,E4,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E0,E000,8/9/2024,10,、演奏,控制电路的设计提示,设计分频控制电路应考虑当每演奏一个音时,应考虑如下因素:,(1),首先分析乐曲中最短的音符,以该音符的长度作为演奏过程中最小的时间单位,Tmin,。其他音的音值长度都是最小时间单位的整倍数。,(2),分频控制电路应能产生一个音阶控制码控制,12,音阶分频电路做相应的分频,同时,产生一个八度控制码,控制八度分频电路产生相应的八度分频。换言之,每一个需要演奏的音都要有对应的两个控制码。,8/9/2024,(3),将一首乐曲每一个音的两个控制码存在,EEPROM,中,按乐曲演奏的顺序逐个取出,从而得到演奏过程中的信号。如果将音阶和八度这两个控制码的长度大于存贮器一个“字”的长度,则应考虑对控制码进行编码,以便压缩控制码的字长。,(4),如果做选做指标,则应对音的强弱进行控制,控制码也应包含在一个存贮字中。,(5),在乐曲中如果有休止符,此时没有输出信号,在设计控制码时应考虑休止符的产生。,(6),为了使乐曲能够自动循环演奏,应考虑识别某一乐曲的结尾,以及如何控制电路返回到该乐曲的开始点。,8/9/2024,演奏,控制电路的算法流程图:,8/9/2024,演奏,控制电路的,ASM,图:,8/9/2024,从流程图转换到,ASM,图可见:共有两个状态,等待状态和演奏状态。当选曲信号为零时,状态不变,当不为零时,分三种情况,若选曲电路,XY=01,,表示选中第一首乐曲,控制器给出第一首乐曲的首地址,用字母,Q1(Q,意曲,),表示,状态不变,当不为零时,分三种情况,若选曲电路,XY=10,,表示选中第二首乐曲,控制器给出第二首乐曲的首地址,用字母,Q2(Q,意曲,),表示,以此类推。,同时,进入演奏状态,来一个时钟,地址加一,直至曲结束信号,(end),到来为止,.,8/9/2024,若采用触发器代表状态,而且一态一触发器,则触发器的激励方程和信号输出方程为:,D0=S0&!X&!Y+S1E,D1=S0(X+Y)+S1&!E,Q1=S0&!X&Y,Q2=S0&X&!Y,Q3=S0&X&Y,A=S1&!E,ALL-CLR=S1&E,说明:,E,为,end,的缩写,8/9/2024,11,、选曲电路和曲目显示电路,设计方案一:,8/9/2024,设计方案二:,8/9/2024,用,74163,构成,2,位二进制计数器,由于地址计数器电路中已经占用了,28C64B,的,A,0,A,7,地址端,故只能用,A,9,和,A,8,两个地址端来选乐曲。,第一首歌曲在存储器中的地址应从,16,进制的,100,开始。,第二首歌曲在,存储器中的地址应从,16,进制的,200,开始。,第三首歌曲在存储器中的地址应从,16,进制的,300,开始。,8/9/2024,8,、存储器的地址译码电路的设计,提示,(,1,),实现原理,地址译码电路的主要功能是以,8Hz,振荡器的输出作为时钟。根据要求每首乐曲演奏,20,30,秒和演奏速度为每分钟,100,120,拍,取,1/4,拍为基准存储单元,则每一首乐曲最多占用,240,个地址空间,(即:,2402,8,),所以选用了,8,位地址译码器(即:译码范围为,0,255,)。可以选用一片,74393,实现,M=256,的地址计数器。,8/9/2024,(,2,)存储器的地址计数器的,设计,地址计数器采用,74393,来构成,M=256,的地址计数器。,8/9/2024,四、预习要求,1,、认真阅读教材,要求认真阅读,电子电路课程设计,第一、二、三章,2,、查阅资料,查找设计提示中要求的各种参考资料并认真学习。,8/9/2024,(,1,),按照,电工电子基础实验,“,4.2,节电气制图基本知识,”,中的要求,绘制电路草图。,(,2,)必须绘制在规定的坐标纸上,必须用铅笔绘制。,(,3,)集成电路必须采用,CAD,功能符号形式,不可用管脚图。,(,4,)所有元件必须有标号。,(,5,)独立设计,发现雷同电路将影响成绩。,3,、设计电路并绘制电路图,8/9/2024,课程设计报告撰写格式:,1,、封面填写要求:,设计题目,可编程电子音乐自动演奏电路,课程名称电子电路课程设计,班 级,学生姓名,指导老师,开课日期,2011,年,9,月,13,日至,2010,年,9,月,23,日,8/9/2024,2,、目录,目录,第一章,技术指标,-,1.1,基本指标,-,1.2,选做指标,-,1.3,设计条件,-,第二章,音乐常识的补充说明,-,2.1,电子乐器信号的简述,-,2.2,乐器的标准频率介绍,-,2.3,音名和唱名的介绍,-,2.4,乐曲与频率的关系介绍,-,2.5,音的长短和休止符介绍,-,8/9/2024,第三章 整体设计,-,3.1,系统框图,-,3.2,方案选择,-,3.3,系统流程图,-,3.4 ASM,图,-,3.5,元件清单,-,第四章,单元电路,设计,-,4.1,选曲电路设计,-,4.2,音节电路设计,-,4.3,十二音阶分频电路,-,4.4,八度分频电路设计,-,4.5,音长控制电路设计,-,8/9/2024,4.6,存储器的地址译码电路的设计,-,4.7,音调控制码译码器电路设计,-,4.8,乐曲编码,-,第五章 电路测试及误差分析,-,5.1,电路测试,-,5.2,误差分析,-,第六章 整体电路设计,-,第七章 参考文献,-,第八章 实验小结及心得体会,-,8/9/2024,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!