第四章--组合逻辑课件

上传人:无*** 文档编号:241707509 上传时间:2024-07-17 格式:PPT 页数:93 大小:2.02MB
返回 下载 相关 举报
第四章--组合逻辑课件_第1页
第1页 / 共93页
第四章--组合逻辑课件_第2页
第2页 / 共93页
第四章--组合逻辑课件_第3页
第3页 / 共93页
点击查看更多>>
资源描述
第四章第四章 组合逻辑组合逻辑4.1 4.1 概述概述逻辑电路逻辑电路在数字电路中,如一个电路的任在数字电路中,如一个电路的任一时刻的输出状态只取决于同时一时刻的输出状态只取决于同时刻输入状态的组合,而与电路的刻输入状态的组合,而与电路的原有状态没有关系。原有状态没有关系。组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路输出仅与当时的输出仅与当时的输入有关,而与输入有关,而与过去的输入无关过去的输入无关即无记忆功能。即无记忆功能。组合逻辑电路x1x2xnZ1Z2Zn7/17/202414.2.14.2.1组合逻辑电路的分析方法组合逻辑电路的分析方法1 1、组合逻辑电路的分析步骤、组合逻辑电路的分析步骤电路电路结构结构输入输出之间输入输出之间的逻辑关系的逻辑关系(1 1)根据已知逻辑电路图写出逻辑表达式并)根据已知逻辑电路图写出逻辑表达式并 化简;化简;(2 2)根据表达式列出真值表;)根据表达式列出真值表;(3 3)由真值表概括出关于命题的文字描述,)由真值表概括出关于命题的文字描述,指出其逻辑功能。指出其逻辑功能。7/17/20242例例4.2.14.2.1:组组合合电电路路如如图图所所示示,分分析析该该电电路路的的逻逻辑辑功能。功能。解:(解:(解:(解:(1 1 1 1)由逻辑图逐)由逻辑图逐)由逻辑图逐)由逻辑图逐级写出逻辑表达式。为级写出逻辑表达式。为级写出逻辑表达式。为级写出逻辑表达式。为了写表达式方便,借助了写表达式方便,借助了写表达式方便,借助了写表达式方便,借助中间变量中间变量中间变量中间变量P P P P。(2 2)化简与变换:化简与变换:(3 3)由表达式列出真值表由表达式列出真值表(4)分析逻辑功能)分析逻辑功能:当当A、B、C三个变量不三个变量不一一 致致 时时,电电 路路 输输 出出 为为“1”,所所以以这这个个电电路路称称为为“不不一一致电路致电路”。7/17/202434.2.2 4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法 设计过程的基本步骤:设计过程的基本步骤:(1 1)分析设计要求,列出真值表;)分析设计要求,列出真值表;(2 2)根据真值表写出输出逻辑函数;根据真值表写出输出逻辑函数;(3 3)将输出逻辑函数进行化简;)将输出逻辑函数进行化简;(4 4)根据最简逻辑函数表达式画逻辑图。)根据最简逻辑函数表达式画逻辑图。7/17/20244解:(解:(1 1)列真值表:)列真值表:(2 2)由真值表写出逻辑表)由真值表写出逻辑表 达式:达式:例例2 2:设计一个三人表决电路,结果按:设计一个三人表决电路,结果按“少数服从多少数服从多数数”的原则决定的原则决定(3 3)化简。)化简。得最简与得最简与得最简与得最简与或表达式:或表达式:或表达式:或表达式:(4 4)画出逻辑图。)画出逻辑图。如果,要求用与非门实现该如果,要求用与非门实现该逻辑电路,就应将表达式转逻辑电路,就应将表达式转换成与非换成与非与非表达式:与非表达式:画出逻辑图如图所示。画出逻辑图如图所示。7/17/20245例例3 3:设计一个电话机信号控制电:设计一个电话机信号控制电路。电路有路。电路有I I0 0(火警)、火警)、I I1 1(盗盗警)和警)和I I2 2(日常业务)三种输入日常业务)三种输入信号,通过排队电路分别信号,通过排队电路分别从从L L0 0、L L1 1、L L2 2输出,在同一时间只能有输出,在同一时间只能有一个信号通过。如果同时有两个一个信号通过。如果同时有两个以上信号出现时,应首先接通火以上信号出现时,应首先接通火警信号,其次为盗警信号,最后警信号,其次为盗警信号,最后是日常业务信号。试按照上述轻是日常业务信号。试按照上述轻重缓急设计该信号控制电路。要重缓急设计该信号控制电路。要求用集成门电路求用集成门电路74007400(每片含(每片含4 4个个2 2输入端与非门)实现输入端与非门)实现 解:(解:(1 1)列真值表:)列真值表:(2 2)由真值表写出)由真值表写出各输出的逻辑表达各输出的逻辑表达式:式:(3 3)根据要求,将上式转换为)根据要求,将上式转换为与非表达式:与非表达式:(4 4)画出逻辑图。)画出逻辑图。7/17/20246例例例例4 4 4 4:设计一个将余设计一个将余设计一个将余设计一个将余3 3 3 3码变换成码变换成码变换成码变换成8421842184218421BCDBCDBCDBCD码的组合码的组合码的组合码的组合逻辑电路。逻辑电路。逻辑电路。逻辑电路。解:(解:(1 1)根据题目要求,列出真值表:)根据题目要求,列出真值表:7/17/20247(2 2 2 2)用卡诺图进行化简。(注意利用无关项)用卡诺图进行化简。(注意利用无关项)用卡诺图进行化简。(注意利用无关项)用卡诺图进行化简。(注意利用无关项)7/17/20248化简后得到的逻辑表达式为:化简后得到的逻辑表达式为:化简后得到的逻辑表达式为:化简后得到的逻辑表达式为:7/17/20249(3 3)由逻辑表达式画出逻辑图。)由逻辑表达式画出逻辑图。7/17/202410例例例例5 5 5 5:在一个激光射击游戏中,允许射手在规定的:在一个激光射击游戏中,允许射手在规定的:在一个激光射击游戏中,允许射手在规定的:在一个激光射击游戏中,允许射手在规定的时间内打三枪:这三枪必须一枪打飞机,一枪打时间内打三枪:这三枪必须一枪打飞机,一枪打时间内打三枪:这三枪必须一枪打飞机,一枪打时间内打三枪:这三枪必须一枪打飞机,一枪打坦克,一枪打汽车。获奖条件是:命中不少于两坦克,一枪打汽车。获奖条件是:命中不少于两坦克,一枪打汽车。获奖条件是:命中不少于两坦克,一枪打汽车。获奖条件是:命中不少于两枪,且其中必须有一枪命中的是飞机。试用与非枪,且其中必须有一枪命中的是飞机。试用与非枪,且其中必须有一枪命中的是飞机。试用与非枪,且其中必须有一枪命中的是飞机。试用与非门设计判别获奖的电路。门设计判别获奖的电路。门设计判别获奖的电路。门设计判别获奖的电路。单单输出组合逻辑电路的设计输出组合逻辑电路的设计解解(1 1)定义输入、输出变量,逻辑赋值,建立真值表。)定义输入、输出变量,逻辑赋值,建立真值表。设输入变量:设输入变量:A A:打飞机,打飞机,A=1A=1表示打中,表示打中,A=0A=0表示未打中;表示未打中;B B:打坦克,打坦克,B=1B=1表示打中,表示打中,B=0B=0表示未打中;表示未打中;C C:打汽车,打汽车,C=1C=1表示打中,表示打中,C=0C=0表示未打中;表示未打中;F F:输出变量,输出变量,F=1F=1表示得奖,表示得奖,F=0F=0表示未得奖;表示未得奖;7/17/202411现用卡诺图化简现用卡诺图化简ABCF0000001001000110100010111101111100000111根据真值表求输出函数根据真值表求输出函数的最简与或逻辑表达式。的最简与或逻辑表达式。F=ABC+ABC+ABCF=ABC+ABC+ABC将与或逻辑式转换将与或逻辑式转换将与或逻辑式转换将与或逻辑式转换为与非为与非为与非为与非-与非逻辑与非逻辑与非逻辑与非逻辑表达式:表达式:表达式:表达式:F F的最简表达式为:的最简表达式为:F=AB+ACF=AB+AC(3 3 3 3)画逻辑图)画逻辑图)画逻辑图)画逻辑图A&B&C&F7/17/202412例例例例6 6 6 6:在大城市为缓解交通拥挤,常对某些重要街:在大城市为缓解交通拥挤,常对某些重要街:在大城市为缓解交通拥挤,常对某些重要街:在大城市为缓解交通拥挤,常对某些重要街道,规定汽车牌照的单双号与单双日吻合者方能道,规定汽车牌照的单双号与单双日吻合者方能道,规定汽车牌照的单双号与单双日吻合者方能道,规定汽车牌照的单双号与单双日吻合者方能行驶。试采用与非门设计判别汽车能否行驶的组行驶。试采用与非门设计判别汽车能否行驶的组行驶。试采用与非门设计判别汽车能否行驶的组行驶。试采用与非门设计判别汽车能否行驶的组合逻辑电路。合逻辑电路。合逻辑电路。合逻辑电路。解解解解:(:(:(:(1 1 1 1)分析逻辑命题,建立真值表)分析逻辑命题,建立真值表)分析逻辑命题,建立真值表)分析逻辑命题,建立真值表汽车牌号是十进制数,必须将十进制数变成汽车牌号是十进制数,必须将十进制数变成汽车牌号是十进制数,必须将十进制数变成汽车牌号是十进制数,必须将十进制数变成数字系统能识别的二进制代码,现将汽车牌数字系统能识别的二进制代码,现将汽车牌数字系统能识别的二进制代码,现将汽车牌数字系统能识别的二进制代码,现将汽车牌号的最末一位用号的最末一位用号的最末一位用号的最末一位用8421842184218421BCDBCDBCDBCD码表示。令输入变量码表示。令输入变量码表示。令输入变量码表示。令输入变量为为为为X X X X8 8 8 8X X X X4 4 4 4X X X X2 2 2 2X X X X1 1 1 1,输入函数为输入函数为输入函数为输入函数为F F F F。设设设设F=1F=1F=1F=1为单日行驶为单日行驶为单日行驶为单日行驶的单号汽车,的单号汽车,的单号汽车,的单号汽车,F=0F=0F=0F=0为双号行驶的双号汽车。为双号行驶的双号汽车。为双号行驶的双号汽车。为双号行驶的双号汽车。7/17/202413X8X4X2X1F000000001100100001110100001011011000111110000100111010 x1011x1100 x1101x1110 x1111xNO.1这六种取值是这六种取值是84218421BCDBCD码中码中的的“伪码伪码”。因因而这六项应是而这六项应是无关项,对应无关项,对应F F值栏下填值栏下填X X。7/17/202414NO.2(2 2)由卡)由卡诺图求出输诺图求出输出的最简与出的最简与或表达式:或表达式:F=XF=X1 1XX10XXXX01100110X8X4X2X17/17/202415NO.3(3 3)画逻辑图画逻辑图&X1&F7/17/202416(4 4)讨论,在上述化简时,将无关项)讨论,在上述化简时,将无关项m m11,11,m m13,13,m m1515均作均作1 1使用,显然当输入使用,显然当输入84218421BCD“BCD“伪码伪码“时,时,F=1F=1,把这种方法设计的电路叫做把这种方法设计的电路叫做”不拒绝不拒绝”伪码伪码“电路。电路。如果在设计时,把无关项均作为如果在设计时,把无关项均作为”0“”0“来对待,来对待,便便得到得到”拒绝伪码拒绝伪码“输入的电路。如按图所示卡诺输入的电路。如按图所示卡诺图图化简,则得:化简,则得:XX10XXXX01100110X8X4X2X17/17/202417&F&逻辑图如下图所示:逻辑图如下图所示:7/17/202418多多输出组合逻辑电路的设计输出组合逻辑电路的设计11111F F1 11 11F F2 21111 F F3 37/17/2024191 1 1 1 1 1 1 1 1 1 1 虽然每个函数不最简,但全盘考虑后将减少门的个数。虽然每个函数不最简,但全盘考虑后将减少门的个数。7/17/202420多位多位加法器加法器4.34.3常用组合逻辑电路常用组合逻辑电路4.3.14.3.1算术运算电路算术运算电路1 1、加法器、加法器半加器半加器全加全加器器只只考虑本位两个一位二进制数考虑本位两个一位二进制数相加,而不考虑来自低位进位相加,而不考虑来自低位进位数相加的运算电路。数相加的运算电路。一般,我们用一般,我们用A A、B B表示加数,表示加数,S S表示本位和,表示本位和,C C表示进位。表示进位。当两个当两个1 1位位二进制数相加时,二进制数相加时,运算形式表示为:运算形式表示为:0+0=00+0=0进位数为进位数为0 0,本位和为,本位和为0 0;1+0=11+0=1进位数为进位数为0 0,本位和为,本位和为1 1;0+1=10+1=1进位数为进位数为0 0,本位和为,本位和为1 1;1+1=01+1=0进位数为进位数为1 1,本位和为,本位和为0 0;结论:结论:半加器只有两个半加器只有两个1 1位二进制数相加;位二进制数相加;没有来自低位的进位数进行相加;没有来自低位的进位数进行相加;相加的结果只有两个,一个是本位相加的结果只有两个,一个是本位和,另一个是进位数;和,另一个是进位数;半加器只有两个输入端和两个输出端半加器只有两个输入端和两个输出端7/17/202421例例4.3.14.3.1试用门电路设计一个半加器试用门电路设计一个半加器解:解:(1 1)分析设计要求,列出真值表)分析设计要求,列出真值表(2 2)根据真值表写出输出逻辑函数表达式)根据真值表写出输出逻辑函数表达式7/17/202422(3 3)画逻辑图)画逻辑图ABCS&=1=17/17/202423如果想用与非门组成半加器,如果想用与非门组成半加器,则将上式用代数法变换成与则将上式用代数法变换成与非形式:非形式:由此画出用与非门组成的由此画出用与非门组成的半加器。半加器。半加器逻辑符号半加器逻辑符号7/17/202424全加器全加器 能能能能同时进行本位数和相邻低位的进位信同时进行本位数和相邻低位的进位信同时进行本位数和相邻低位的进位信同时进行本位数和相邻低位的进位信号的加法运算。号的加法运算。号的加法运算。号的加法运算。第第 第第 第第 第第 4 3 2 1 4 3 2 1 位位 位位 位位 位位 1 0 1 1 1 0 1 1 0 1 1 1 0 1 1 1+1 1 1+1 1 1 1 0 0 1 0 1 0 0 1 0A AB BC CS S从从第二位开始考第二位开始考虑从低位的进位虑从低位的进位数相加数相加相加结果:一个相加结果:一个是本位和,一个是本位和,一个是进位数是进位数结论:结论:全加器有三个输入端;全加器有三个输入端;两个输出端。两个输出端。7/17/202425例例4.3.24.3.2试用门电路设计一个试用门电路设计一个1 1位全加器位全加器解:解:(1 1)分析设计要求,列出真值表)分析设计要求,列出真值表输入输入输出输出AiBiCi-1SiCi00001111001100110101010101101001000101117/17/202426(2)(2)根据真值表,写出逻根据真值表,写出逻辑函数表达式并化简辑函数表达式并化简(3 3)据逻辑表达式画出)据逻辑表达式画出)据逻辑表达式画出)据逻辑表达式画出全加器的逻辑电路图:全加器的逻辑电路图:全加器的逻辑电路图:全加器的逻辑电路图:全加器逻辑符号全加器逻辑符号7/17/202427多位数加法器多位数加法器实现多位二进制数加法运算的电路实现多位二进制数加法运算的电路相相加加方方式式串串行进位加法器行进位加法器超前进位加法器超前进位加法器7/17/202428串串行进位加法器行进位加法器由由多个全加器串联完成多个全加器串联完成4 4位串行进位加法器位串行进位加法器由由4 4个全加器个全加器组成;组成;最低位的进位输入端最低位的进位输入端C Ci i-1-1与地与地相连;相连;低位的全加器进位输出端低位的全加器进位输出端C Ci i和和相邻高位全加器的进位输入端相邻高位全加器的进位输入端C Ci i-1-1相连;相连;缺点:缺点:每位全加器相加的结果必须每位全加器相加的结果必须等到低位产和的进位信号输入后等到低位产和的进位信号输入后才能产生。运行速度慢。才能产生。运行速度慢。优点:优点:电路设计较简单电路设计较简单7/17/202429超前进位加法器超前进位加法器电路进行二进制加法运算时,通过快速电路进行二进制加法运算时,通过快速进位电路同时产生除最低位全加器外的进位电路同时产生除最低位全加器外的其余所有全加器的进位信号,无需再由其余所有全加器的进位信号,无需再由低位到高位逐位传递进位信号。低位到高位逐位传递进位信号。优点:优点:消除了串行进位加法器逐位消除了串行进位加法器逐位传递进位信号的时间,提高了加法传递进位信号的时间,提高了加法器的运算速度。器的运算速度。7/17/202430超前进位信号产生的原理超前进位信号产生的原理明确一点:加到第明确一点:加到第i i位的进位输入信号是这两个加位的进位输入信号是这两个加数第数第i i位以前各位状态的函数,即第位以前各位状态的函数,即第i i位的输入信号位的输入信号(CICI)i i一定能由一定能由A Ai-1i-1,A Ai-2i-2AA0 0和和B Bi-1i-1,B Bi-2i-2BB0 0唯唯一的确定。一的确定。输入输入输出输出AiBiCiSiCO00001111001100110101010101101001000101117/17/202431 分析全分析全加器的加器的真值表找产生进位输出两种信号情况:真值表找产生进位输出两种信号情况:AB=1AB=1(COCO)=1=1A+B=1A+B=1且(且(CICI)=1=1(COCO)=1=1第第i i位相加产生的进位输出(位相加产生的进位输出(COCO)i i=A Ai iB Bi i+(A+(Ai i+B+Bi i)(CI)(CI)i i定义定义:A Ai iB Bi i=G Gi i、(A(Ai i+B+Bi i)=P)=Pi i(COCO)i i=G Gi i+P+Pi i(CI)(CI)i i展开展开(CoCo)i i=G Gi i+P+Pi i G Gi i-1-1+P+Pi-1i-1(CI)(CI)I-1I-1=G Gi i+P Pi iG Gi i-1-1+P Pi iP Pi i-1-1G Gi-2i-2+P Pi iP Pi i-1-1 G G0 0+P Pi iP Pi i-1-1 PP0 0C C0 0 7/17/202432从全加器的真值表中得到:从全加器的真值表中得到:变成异或式:变成异或式:课后请课后请同学们自己画逻辑功能图同学们自己画逻辑功能图运算速度加快以电路的复杂度增加为代价!运算速度加快以电路的复杂度增加为代价!7/17/202433CT74LS283CT74LS283超前加法器逻辑功能示意图超前加法器逻辑功能示意图A A0 0A A1 1A A2 2A A3 3B B0 0B B1 1B B2 2B B3 3CICIS S0 0S S1 1S S2 2S S3 3COCO加数加数加数加数和和相邻相邻低位低位进位进位进进位位输出输出7/17/202434例例4.3.34.3.3试用试用4 4位加法器位加法器CT74LS283CT74LS283设计一个将设计一个将84218421BCDBCD码转换为余码转换为余3 3码输出的电路。码输出的电路。解:由于余解:由于余3 3码等于码等于84218421BCDBCD码加码加00110011,如取输入如取输入A A3 3A A2 2A A1 1A A0 0为为84218421BCDBCD码,码,B B3 3B B2 2B B1 1B B0 0=0011=0011,进位输入进位输入CI=0CI=0,输出输出S S3 3S S2 2S S1 1S S0 0为余为余3 3码时,码时,则余则余3 3码为码为S S3 3S S2 2S S1 1S S0 0=8421BCD=8421BCD码码+0011+00117/17/202435A A0 0A A1 1A A2 2A A3 3B B0 0B B1 1B B2 2B B3 3CICIS S0 0S S1 1S S2 2S S3 3COCO8 84 42 21 1B BC CD D码码余余3 3码码1 184218421BCDBCD码转换为余码转换为余3 3码的电路码的电路7/17/202436例例4.3.44.3.4用用全加器全加器实现二进制数的加减法电路实现二进制数的加减法电路A A0 0A A1 1A A2 2A A3 3B B0 0B B1 1B B2 2B B3 3CICIS S0 0S S1 1S S2 2S S3 3COCO=1=1=1=1=1=1=1=1a a0 0a a1 1a a2 2a a3 3b b0 0b b1 1b b2 2b b3 3M MM=0:M=0:加法运算加法运算M=1:M=1:减法运算减法运算7/17/202437 4.4 4.4 编码器编码器编码编码为了区分一系列不同事物,将其中的每个事为了区分一系列不同事物,将其中的每个事 物用一个二值代码表示物用一个二值代码表示编码器功能就是把输入的每一个高低电平信号编码器功能就是把输入的每一个高低电平信号编成一个对应的二进制代码。编成一个对应的二进制代码。分类分类普通编码器普通编码器优先编码器优先编码器任何时刻只允许输入一个编码信号,任何时刻只允许输入一个编码信号,否则输出将发生混乱。否则输出将发生混乱。允许同时输入两个以上的编码信号。允许同时输入两个以上的编码信号。7/17/202438普通编码器举例(普通编码器举例(8 8线线-3-3线)线)8 8线线-3-3线线编码器编码器I I0 0I I1 1I I2 2I I3 3I I4 4I I5 5I I6 6I I7 7Y Y2 2Y Y1 1Y Y0 0输入为输入为8 8个电平信号;个电平信号;输出为输出为3 3位二进制代码;位二进制代码;7/17/202439输入输出I I0 0 I I1 1 I I2 2 I I3 3 I I4 4 I I5 5 I I6 6 I I7 7Y Y2 2 Y Y1 1 Y Y0 01 0 0 0 0 0 0 00 1 0 0 0 0 0 0 0 0 1 0 0 0 0 00 0 0 1 0 0 0 00 0 0 0 1 0 0 00 0 0 0 0 1 0 00 0 0 0 0 0 1 00 0 0 0 0 0 0 10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 13 3位位二进制编码器的真值表二进制编码器的真值表7/17/202440根据真值表写出逻辑表达式根据真值表写出逻辑表达式(同学们自己写)(同学们自己写)根据相关约束项写出最简式:根据相关约束项写出最简式:画出画出逻辑电路逻辑电路7/17/202441优先编码器优先编码器定义:定义:能识别服务请求能识别服务请求信号的优先级别,信号的优先级别,并进行编码的逻并进行编码的逻辑电路称为优先辑电路称为优先编码器。(只对编码器。(只对优先权最高的一优先权最高的一个进行编码)个进行编码)输入编码信号级别输入编码信号级别的高低,是由设计的高低,是由设计者根据实际工作需者根据实际工作需要事先安排的。要事先安排的。7/17/202442 集成优先编码器举例集成优先编码器举例7474LS148LS148(8 8线线-3-3线)线)G G1 1G G2 2G G3 37/17/202443 如果不考虑由门如果不考虑由门G G1 1 G G2 2 G G3 3构成的附加控制电路,构成的附加控制电路,则编码器由虚线部分构成。则编码器由虚线部分构成。得到输出逻辑式:得到输出逻辑式:7/17/202444 为了扩展电路的功能和增加使用的灵活性,在为了扩展电路的功能和增加使用的灵活性,在7474LS148LS148的逻辑电路中附加了由门的逻辑电路中附加了由门G G1 1 G G2 2 G G3 3构成的控构成的控制电路。制电路。注意:注意:EIEI为使能输入端,也为选用输入端,为使能输入端,也为选用输入端,(低电平有效低电平有效)当当EIEI为高电平时,所有的输入端均被封所在高电平;为高电平时,所有的输入端均被封所在高电平;EOEO为使能输出端为使能输出端(低电平有效低电平有效)GSGS为优先编码工作标志为优先编码工作标志(低电平有效低电平有效)该电路为反码输出该电路为反码输出7/17/202445先通输出端先通输出端EOEO和扩展端和扩展端GSGS用于扩展编码功能,由图可得:用于扩展编码功能,由图可得:只有当所有的编码输入端都是高电平(即无编码只有当所有的编码输入端都是高电平(即无编码输入),且输入),且EI=0EI=0时,时,EOEO才是低电平才是低电平。表示。表示“电路工作,电路工作,但无编码输入但无编码输入”。只要任何一个编码输入端有低电平信号输入,且只要任何一个编码输入端有低电平信号输入,且EI=0EI=0,GSGS即为低电平。此时表示即为低电平。此时表示“电路工作,而且有编码输入电路工作,而且有编码输入”。7/17/202446根据上几式分析,可得到下功能表:根据上几式分析,可得到下功能表:输输入入和和输输出出均均以以低低电电平平有有效效!7/17/202447 常用的译码器种类:常用的译码器种类:二进制译码器二进制译码器二二-十进制译码器十进制译码器显示译码器显示译码器 逻辑功能是将每个输入的二进逻辑功能是将每个输入的二进制代码译成对应的输出高、低制代码译成对应的输出高、低电平信号。电平信号。译码是编译码是编码的反操码的反操作。作。译码器译码器7/17/2024483 3线线-8-8线线编码器编码器I I0 0I I1 1I I2 2I I3 3I I4 4I I5 5I I6 6I I7 7Y Y2 2Y Y1 1Y Y0 0二进制译码器二进制译码器输入是一组二进制代码,输出是一组输入是一组二进制代码,输出是一组与输入代码一一对应的高低电平信号。与输入代码一一对应的高低电平信号。3 3位位二进制译码器框图二进制译码器框图输入的输入的3 3位二进制代码共位二进制代码共有有8 8种状态;种状态;译码器将每个输入代码译译码器将每个输入代码译成对应的一根输出线上的成对应的一根输出线上的高低电平信号;高低电平信号;7/17/2024497474LS138LS138用用TTLTTL与非门组成的与非门组成的3-83-8线译码器线译码器GSGSG3G3G2G2G1G1G0G0G4G4G7G7G6G6G5G57/17/202450当当附加门附加门GSGS输出为高电平时,可由逻辑图写出:输出为高电平时,可由逻辑图写出:输出变量又是输入变量的全部最输出变量又是输入变量的全部最小项的译码输出,所以称其为最小项小项的译码输出,所以称其为最小项译码器。译码器。7/17/202451这这3 3个控制端也叫做个控制端也叫做“片选片选”输入端,利用片输入端,利用片选的作用可以将多片连接起来以扩展译码器选的作用可以将多片连接起来以扩展译码器的功能。的功能。7474LS138LS138有有3 3个附加的控制端个附加的控制端G1G1、G2AG2A、G2BG2B。当当G1=1G1=1、G2A+G2B=0 G2A+G2B=0时,时,GSGS输出为高电平,输出为高电平,译码器处于工作状态,否则译码器被禁止,译码器处于工作状态,否则译码器被禁止,所有的输出端被封锁在高电平。所有的输出端被封锁在高电平。7/17/202452带带控制输入端的译码器又是一个完整的数据分配器控制输入端的译码器又是一个完整的数据分配器若把若把G1G1作为作为“数据数据”输入端(同时令输入端(同时令G2AG2A和和G2BG2B为为0 0)而将而将A A2 2A A1 1A A0 0作为作为“地址地址”输入端,那么从输入端,那么从G1G1送来的送来的数据只能通过由数据只能通过由A A2 2A A1 1A A0 0所所指定的一根输出线送出指定的一根输出线送出去。去。输入输出G1G2A+G2BA A2 2A A1 1A A0 0Y Y0 0Y Y1 1Y Y2 2Y Y3 3Y Y4 4Y Y5 5Y Y6 6Y Y7 70X11111111X100000000XXXXXX0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 10 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 07/17/202453二进二进-十进制译码器十进制译码器84218421BCD译码器译码器74427442功能:将输入的功能:将输入的BCDBCD码的码的1010个代码译成个代码译成1010个高低个高低电平输出信号。电平输出信号。7/17/202454对于对于BCDBCD代码以外的伪码均无低电平信号产生,译码器代码以外的伪码均无低电平信号产生,译码器拒绝拒绝“翻译翻译”,所以这个电路结构具有拒绝伪码功能。,所以这个电路结构具有拒绝伪码功能。7/17/202455数字显示译码器数字显示译码器 在数字系统中,常需要将运算结果用人们习惯的在数字系统中,常需要将运算结果用人们习惯的十进制显示出来,这就要用到十进制显示出来,这就要用到显示译码器显示译码器。二二-十十进制进制编码编码显示译显示译码器码器显示显示器件器件7/17/202456数字显示译码器数字显示译码器目的目的以十进制数以十进制数码显示数字码显示数字系统的运行系统的运行数据。数据。七段数码管七段数码管字符显示器字符显示器由七段可发由七段可发光的线段找光的线段找合而成。合而成。常见种类常见种类半导体数码半导体数码管和液晶显管和液晶显示器两种。示器两种。7/17/202457半导体数码管外形图和等效电路半导体数码管外形图和等效电路7/17/202458 按内部连接方式不同,七段数字显示器分为按内部连接方式不同,七段数字显示器分为按内部连接方式不同,七段数字显示器分为按内部连接方式不同,七段数字显示器分为 共阳极共阳极共阳极共阳极 和和和和 共阴极共阴极共阴极共阴极 两种。两种。两种。两种。小数点小数点a ab bc cd de ef fg ga ab bc cd de=0e=0f=0f=0g g共阴极共阴极e=0e=0f=0f=0共共阳极阳极低低有效有效高有效高有效7/17/202459七段七段显示译码器的设计显示译码器的设计显显示示译译码码器器a ab bc cd de ef fg ga ab bc cd de ef fg gA A3 3A A2 2A A1 1A A0 0R R7/17/202460今以今以A A3 3A A2 2A A1 1A A0 0表示显示译码器的表示显示译码器的BCDBCD代码,代码,以以YaYaYgYg表示输出的表示输出的7 7位二进制代码,位二进制代码,规定用规定用1 1表示数码管中线段的点亮状态,表示数码管中线段的点亮状态,用用0 0表示线段的熄灭状态;表示线段的熄灭状态;规定了输入为规定了输入为1010111110101111这六个状态下这六个状态下显示的字形;显示的字形;规定:规定:7/17/202461七段七段译码器的真值表译码器的真值表7/17/20246274487448的逻辑功能:的逻辑功能:的逻辑功能:的逻辑功能:(1)正正常常译译码码显显示示。LT=1,BI/RBO=1时时,对对输输入入为为十十进进制制数数l15的二进制码(的二进制码(00011111)进行译码,产生对应的七段显示码。)进行译码,产生对应的七段显示码。(2)灭灭零零。当当LT=1,而而输输入入为为0的的二二进进制制码码0000时时,只只有有当当RBI=1时时,才才产产生生0的的七七段段显显示示码码,如如果果此此时时输输入入RBI=0,则则译译码码器器的的ag输出全输出全0,使显示器全灭;所以,使显示器全灭;所以RBI称为灭零输入端。称为灭零输入端。(3)试试灯灯。当当LT=0时时,无无论论输输入入怎怎样样,ag输输出出全全1,数数码码管管七七段段全全亮亮。由由此此可可以以检检测测显显示示器器七七个个发发光光段段的的好好坏坏。LT称称为为试试灯灯输输入入端。端。(4)特殊控制端)特殊控制端BI/RBO。BI/RBO可以作输入端,也可以作输出端。可以作输入端,也可以作输出端。作作输输入入使使用用时时,如如果果BI=0时时,不不管管其其他他输输入入端端为为何何值值,ag均均输输出出0,显示器全灭。因此,显示器全灭。因此BI称为灭灯输入端。称为灭灯输入端。作作输输出出端端使使用用时时,受受控控于于RBI。当当RBI=0,输输入入为为0的的二二进进制制码码0000时时,RBO=0,用用以以指指示示该该片片正正处处于于灭灭零零状状态态。所所以以,RBO 又又称称为为灭零输出端。灭零输出端。七段七段译码器的真值表译码器的真值表七段七段译码器的真值表译码器的真值表七段七段译码器的真值表译码器的真值表七段七段译码器的真值表译码器的真值表7/17/2024635 5、用译码器设计组合逻辑电路、用译码器设计组合逻辑电路例:试用例:试用3 3线线/8/8线译码器实现逻辑函数:线译码器实现逻辑函数:解:解:3 3线线/8 8线线译译码码器器A AB BC CA2A2A1A1A0A0Y0Y0Y1Y1Y2Y2Y3Y3Y4Y4Y5Y5Y6Y6Y7Y7分析:分析:3 3线线/8/8线译码器高电线译码器高电平有效;平有效;3 3线线/8 8线线译译码码器器A AB BC CA2A2A1A1A0A0Y0Y0Y1Y1Y2Y2Y3Y3Y4Y4Y5Y5Y6Y6Y7Y711F F7/17/202464用用7413874138译码器实现逻辑函数:译码器实现逻辑函数:解:解:分析:分析:7474LS138LS138低低电平有效;电平有效;7 74 4L LS S1 13 38 8A AB BC C1 17 74 4L LS S1 13 38 8A AB BC C1 1&F F7/17/2024651 1、首先将被实现的函数变成以最小项表示的与或表、首先将被实现的函数变成以最小项表示的与或表达式。并将被实现函数的变量接到译码器的代码输达式。并将被实现函数的变量接到译码器的代码输入端。入端。用译码器实现逻辑函数的方法:用译码器实现逻辑函数的方法:2 2、当译码器的输出为高电平有效时,选用或门;、当译码器的输出为高电平有效时,选用或门;当输出为低电平有效时,选用与非门。当输出为低电平有效时,选用与非门。3 3、将译码器输出与逻辑函数、将译码器输出与逻辑函数F F所具有的最小项相对所具有的最小项相对应的所有输出端连接到一个或门(或者与非门)的应的所有输出端连接到一个或门(或者与非门)的输入端,则或门(或者与非门)的输出就是被实现输入端,则或门(或者与非门)的输出就是被实现的逻辑函数。的逻辑函数。7/17/202466例例1 1:利用:利用7474LS138LS138及一些门电路,设计一个多路输出及一些门电路,设计一个多路输出的组合逻辑电路。输出的逻辑表达式为的组合逻辑电路。输出的逻辑表达式为解:首先将所给函数化为最小项标准表达式:解:首先将所给函数化为最小项标准表达式:7/17/202467 由于由于7474LS138LS138的输出为低电平有效,故应选择与的输出为低电平有效,故应选择与非门作输出门。将逻辑函数的变量非门作输出门。将逻辑函数的变量A A、B B、C C分别加到分别加到7474LS138LS138译码器的输入端译码器的输入端A A2 2、A A1 1、A A0 0,并将译码器输并将译码器输出与逻辑函数出与逻辑函数F F1 1、F F2 2、F F3 3、F F4 4中分别具有的最小项中分别具有的最小项对应的所有输出端,连接到一个与非门的输入端,对应的所有输出端,连接到一个与非门的输入端,则各个与非门的输出就可实现逻辑函数则各个与非门的输出就可实现逻辑函数F F1 1、F F2 2、F F3 3、F F4 4。7/17/2024687 74 4L LS S1 13 38 8A AB BC C1 1&F F1 1&F F2 2&F F3 3&F F4 4用用7474LS138LS138译码器实现逻辑函数译码器实现逻辑函数7/17/202469数据选择器数据选择器 当当A A1 1A A0 0取不同的代码时,开关打向不同的位置,取不同的代码时,开关打向不同的位置,选择不同的数据。选择不同的数据。A A1 1 A A0 0Y Y=0000=0101=10=10=1111数据选择器示意图数据选择器示意图7/17/202470例:四选一数据选择器例:四选一数据选择器根据功能表,可写出输出逻辑表达式:根据功能表,可写出输出逻辑表达式:7/17/202471由逻辑表达式画出逻辑图:由逻辑表达式画出逻辑图:7/17/202472如果一个如果一个MUXMUX的选通变量个数为的选通变量个数为n n,对这个对这个2 2n n选选1 1MUXMUX的输出的输出F F可写出:可写出:数据选择器实现逻辑函数的理论根据及方法数据选择器实现逻辑函数的理论根据及方法7/17/202473例:用四选一数据选择器实现逻辑函数:例:用四选一数据选择器实现逻辑函数:四选一逻辑符号四选一逻辑符号F FMUXMUXY Y7/17/202474用用MUXMUX实现逻辑函数时,应将函数的变量接到实现逻辑函数时,应将函数的变量接到MUXMUX的选的选通变量端,如果函数的变量个数为通变量端,如果函数的变量个数为K K,MUXMUX的选通变量的选通变量个数为个数为n n,可能有以下几种情况产生,即可能有以下几种情况产生,即K=n,Kn,Kn,KnKn时,应分离出多余的变量,将其余下的变时,应分离出多余的变量,将其余下的变量和量和MUXMUX的选择变量端一一对应连接,而将分离出来的选择变量端一一对应连接,而将分离出来的变量按一定的规则接到的变量按一定的规则接到MUXMUX的数据输入端。的数据输入端。7/17/202477例:用例:用4 4选选1 1实现函数实现函数F FMUXMUXK=3,n=2K=3,n=27/17/202478K=3,n=1K=3,n=1用用2 2选选1 1MUXMUX实现数据选择器实现数据选择器F F1 1A A=1=17/17/202479(3 3)当逻辑函数的变量数目少于)当逻辑函数的变量数目少于MUXMUX的选择变量数的选择变量数目,即目,即KnKBABB B、若高位相等,则再比较低位数,最终结果若高位相等,则再比较低位数,最终结果由低位的比较结果决定。由低位的比较结果决定。如:如:A=0001A=0001,B=0111 B=0111 则:则:ABAB A=0001,B=0011 A=0001,B=0011 则:则:ABAB A=0001,B=0001 A=0001,B=0001 则:则:ABAB7/17/2024877/17/202488低位低位高位高位7/17/202489组合逻辑电路的竟争与冒险组合逻辑电路的竟争与冒险在在组合逻辑电路中,当某个变量经两条以上路径到达组合逻辑电路中,当某个变量经两条以上路径到达输出端时,由于每条路径上的延迟时间的不同,到达输出端时,由于每条路径上的延迟时间的不同,到达终点的时间有先有后,这一现象称竞争。终点的时间有先有后,这一现象称竞争。在具有竞争现象的组合电路中,当某个变量发生变化在具有竞争现象的组合电路中,当某个变量发生变化时,如果真值表所描述的逻辑关系遭受到短暂的破坏,时,如果真值表所描述的逻辑关系遭受到短暂的破坏,在输出端出现不应有的尖脉冲时(毛刺),称冒险现在输出端出现不应有的尖脉冲时(毛刺),称冒险现象。象。换句话:我们把门电路两个输入信号同时向相反的逻换句话:我们把门电路两个输入信号同时向相反的逻辑电平跳变的现象(辑电平跳变的现象(0 0到到1 1,1 1到到0 0)7/17/2024907/17/202491消除竟争冒险的方法消除竟争冒险的方法l修改逻辑设计修改逻辑设计此方法是利用逻辑代数中的等式变换。在确保逻此方法是利用逻辑代数中的等式变换。在确保逻辑函数值不变的条件下,对原逻辑函数式进行适辑函数值不变的条件下,对原逻辑函数式进行适当修改,以消除竟争冒险。当修改,以消除竟争冒险。l输出端接输出端接入入滤波电路滤波电路冒险脉冲是一窄脉冲,在门电路的输出端接几百冒险脉冲是一窄脉冲,在门电路的输出端接几百微法的电容,利用电容电压不能突变的特性,也微法的电容,利用电容电压不能突变的特性,也可消除险象。可消除险象。7/17/2024927/17/202493
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!