半导体二极管三极管和MOS管课件

上传人:494895****12427 文档编号:241022983 上传时间:2024-05-25 格式:PPT 页数:71 大小:2.88MB
返回 下载 相关 举报
半导体二极管三极管和MOS管课件_第1页
第1页 / 共71页
半导体二极管三极管和MOS管课件_第2页
第2页 / 共71页
半导体二极管三极管和MOS管课件_第3页
第3页 / 共71页
点击查看更多>>
资源描述
第第2 2章章 集成逻辑门电路集成逻辑门电路2.2 TTL2.2 TTL集成逻辑门电路集成逻辑门电路 2.3 CMOS2.3 CMOS集成逻辑门电路集成逻辑门电路2.4 2.4 集成门电路的应用注意事项集成门电路的应用注意事项2.1 2.1 分立元件门电路分立元件门电路第2章 集成逻辑门电路2.2 TTL集成逻辑门电路 2.3 1 学习要点学习要点分立元件门电路的构成分立元件门电路的构成TTLTTL集成逻辑门电路功能及特点集成逻辑门电路功能及特点CMOSCMOS集成逻辑门电路功能及特点集成逻辑门电路功能及特点逻辑电路使用过程中的注意问题逻辑电路使用过程中的注意问题 学习要点分立元件门电路的构成2逻辑门电路逻辑门电路-由具体器件构成能够实现基本和常用逻由具体器件构成能够实现基本和常用逻辑关系的电子线路,简称门电路辑关系的电子线路,简称门电路。是实现逻辑功能的基本单元。是实现逻辑功能的基本单元。数字集成电路数字集成电路 一种是由三极管组成的双极型集成电路,例如一种是由三极管组成的双极型集成电路,例如晶体管晶体管-晶体管逻辑电路(简称晶体管逻辑电路(简称TTL电路)和射极耦电路)和射极耦合逻辑电路(简称合逻辑电路(简称ECL电路)。电路)。一种是由一种是由MOS管组成的单极型集成电路,例如管组成的单极型集成电路,例如N-MOS逻辑电路和互补逻辑电路和互补MOS(简称(简称COMS)逻辑电路。)逻辑电路。逻辑门电路-由具体器件构成能够实现基本和常用逻辑关系的电32.1 2.1 分立元件门电路分立元件门电路2.1.1 2.1.1 晶体管开关特性晶体管开关特性2.1.2 2.1.2 基本晶体管门电路基本晶体管门电路 2.1 分立元件门电路2.1.1 晶体管开关特性2.1.2 4理想开关理想开关 开关闭合时,开关两端电压为开关闭合时,开关两端电压为0;开关断开时,其流过的电流为开关断开时,其流过的电流为0,其两端间呈现的电阻为无穷大;其两端间呈现的电阻为无穷大;且开关的转换在瞬间完成。且开关的转换在瞬间完成。半导体二极管、三极管和半导体二极管、三极管和MOS管,是构成这种电子管,是构成这种电子开关的基本开关元件。开关的基本开关元件。可用逻辑变量的可用逻辑变量的“1”“0”来表示。来表示。导通时,相当于开关闭合;导通时,相当于开关闭合;截止时,相当于开关断开。截止时,相当于开关断开。理想开关 开关闭合时,开关两端电压为0;开关断开时,其流过52.1.1 2.1.1 晶体管开关特性晶体管开关特性1.二极管的开关特性二极管的开关特性(1)静态特性。)静态特性。二极管当作开关来使用正是利用了二极管的单向导电性。二极管当作开关来使用正是利用了二极管的单向导电性。2.1.1 晶体管开关特性二极管的开关特性(1)静态特性。二6当外加正向电压大于死区电压时,当外加正向电压大于死区电压时,二极管呈现很小的电阻处于导通二极管呈现很小的电阻处于导通状态,相当于开关闭合,一般硅状态,相当于开关闭合,一般硅管的正向导通压降管的正向导通压降UD约为约为0.60.7V,锗管约为,锗管约为0.20.3V。当二极管两端加上反向电压时,当二极管两端加上反向电压时,在开始很大范围内,二极管相在开始很大范围内,二极管相当于非常大的电阻,反向电流当于非常大的电阻,反向电流极小,二极管处于截止状态,极小,二极管处于截止状态,此时相当于开关断开。此时相当于开关断开。开关等效电路开关等效电路 伏安特性曲线伏安特性曲线 普通二极管反向击穿后,将普通二极管反向击穿后,将失去单向导电性。失去单向导电性。注意:注意:当外加正向电压大于死区电压时,二极管呈现很小的电阻处于导通状7(2)动态特性。)动态特性。通常情况下,二极管从截止变为导通和从通常情况下,二极管从截止变为导通和从导通变为截止都需要一定的时间,不能象导通变为截止都需要一定的时间,不能象理想开关那样瞬间完成。而且从导通变为理想开关那样瞬间完成。而且从导通变为截止所需的时间更长一些。截止所需的时间更长一些。一般把二极管从导通到截止所需的时间称一般把二极管从导通到截止所需的时间称为反向恢复时间为反向恢复时间tre。若输入信号频率过高,。若输入信号频率过高,负半周宽度小于负半周宽度小于tre时,二极管会双向导通,时,二极管会双向导通,失去单向导电作用。失去单向导电作用。因此高频应用时需要考虑此参数的影响。因此高频应用时需要考虑此参数的影响。(2)动态特性。通常情况下,二极管从截止变为导通和从导通变为82.三极管的开关特性三极管的开关特性(1)静态特性。)静态特性。2.三极管的开关特性(1)静态特性。9其中其中 为三极管的三极管的导通通电压,如硅管,如硅管此此时,、均近似均近似为0 0,三极管的集,三极管的集电极和极和发射极之射极之间相相当于开关断开当于开关断开。在开关状态下,三极管主要工在开关状态下,三极管主要工作在饱和区(开关闭合)和截作在饱和区(开关闭合)和截止区(开关断开),放大区只止区(开关断开),放大区只是极短暂的过渡状态。是极短暂的过渡状态。A、截止区、截止区B、饱和区饱和区其中,其中,为临界饱和电流。为临界饱和电流。其中 为三极管的导通电压,如硅管此时,、均近似为10三极管的发射结正偏,集电结正偏,集电极和发射三极管的发射结正偏,集电结正偏,集电极和发射极间电压为反向饱和电压极间电压为反向饱和电压UCES(0.20.3V左右)。左右)。饱和越深,饱和越深,UCE越小。三极管的集电极和发射极间相越小。三极管的集电极和发射极间相当于短路状态。当于短路状态。三极管相当于一个由基极电流控制的开关。三极管相当于一个由基极电流控制的开关。开关等效电路开关等效电路 三极管的发射结正偏,集电结正偏,集电极和发射极间电压为反向饱11三极管的工作状态处于哪个区域,对应的输三极管的工作状态处于哪个区域,对应的输出电压出电压 为多少?为多少?例例1 若三极管导通电压为若三极管导通电压为0.5V0.5V,饱和时,饱和时U UBEBE=0.7V=0.7V,U UCESCES=0.3V=0.3V。求当输入。求当输入 分别为分别为0.3V0.3V和和10V10V时,时,解:分析三极管解:分析三极管电路,关键是要电路,关键是要抓住三极管三种抓住三极管三种工作状态的条件工作状态的条件和特点。和特点。三极管的工作状态处于哪个区域,对应的输出电压 为多少?例12当当 时:时:假设三极管已截止,假设三极管已截止,等效电路等效电路 因为因为UBE 0.5V,三极管截止的,三极管截止的假设成立,根据截止时三极管,假设成立,根据截止时三极管,可求出当 时:假设三极管已截止,等13当当 时:时:假设三极管已饱和,则假设三极管已饱和,则UBE=0.7V等效电路等效电路 又知:又知:三极管三极管饱和的假和的假设成立,可求出成立,可求出。当 时:假设三极管已饱和,则UB14(2)动态特性。)动态特性。延迟时间延迟时间td,上升时间上升时间tr存储时间存储时间ts,下降时间下降时间tf 从截止到饱和所需的时间。从截止到饱和所需的时间。从饱和到截止所需的时间。从饱和到截止所需的时间。开通时间开通时间 ton=td+tr关闭时间关闭时间 toff=ts+tf开关时间越短,开关速度开关时间越短,开关速度越高,在高频应用时需要越高,在高频应用时需要特别注意考虑这个问题。特别注意考虑这个问题。(2)动态特性。延迟时间td,上升时间tr从截止到饱和所需152.1.2 2.1.2 基本晶体管门电路基本晶体管门电路 电位电位-指绝对电压的大小。指绝对电压的大小。电平电平-指一定的电压范围。指一定的电压范围。门电路的输入和输出信号都是用电平(或电位)门电路的输入和输出信号都是用电平(或电位)的高低来表示的。的高低来表示的。高电平和低电平又可用逻辑高电平和低电平又可用逻辑“1”和逻辑和逻辑“0”表示,这样可以得到逻辑电路的真值表,便于表示,这样可以得到逻辑电路的真值表,便于进行逻辑分析。进行逻辑分析。2.1.2 基本晶体管门电路 电位-指绝对电压的大小。161.与门与门逻辑状态表逻辑状态表A AB BF F0 0(0V0V)0 0(0V0V)0 0(0.7V0.7V)0 0(0V0V)1 1(5V5V)0 0(0.7V0.7V)1 1(5V5V)0 0(0V0V)0 0(0.7V0.7V)1 1(5V5V)1 1(5V5V)1 1(5V5V)与门逻辑状态表ABF0(0V)0(0V)0(0.7V)0(017与门电路波形图与门电路波形图 2.或门或门电路图和符号电路图和符号与门电路波形图 2.或门电路图和符号18或门逻辑状态表或门逻辑状态表 A AB BF F0 0(0V0V)0 0(0V0V)0 0(0V0V)0 0(0V0V)1 1(5V5V)1 1(4.3V4.3V)1 1(5V5V)0 0(0V0V)1 1(4.3V4.3V)1 1(5V5V)1 1(5V5V)1 1(4.3V4.3V)电路波形图电路波形图 或门逻辑状态表 ABF0(0V)0(0V)0(0V)0(0V193.非门非门逻辑状态表逻辑状态表 A AF F0 0(0V0V)1 1(12V12V)1 1(3V3V)0 0(0.3V0.3V)电路波形图电路波形图 数字电路逻辑符号中,若数字电路逻辑符号中,若在输入端加小圆圈,表示在输入端加小圆圈,表示输入低电平信号有效。若输入低电平信号有效。若在输出端加小圆圈,表示在输出端加小圆圈,表示输出信号取反。输出信号取反。3.非门逻辑状态表 AF0(0V)1(12V)1(3V)0(20与非门电路与非门电路 逻辑状态表逻辑状态表 A AB BF F0 0(0V0V)0 0(0V0V)1 1(5.7V5.7V)0 0(0V0V)1 1(5V5V)1 1(5.7V5.7V)1 1(5V5V)0 0(0V0V)1 1(5.7V5.7V)1 1(5V5V)1 1(5V5V)0 0(0.3V0.3V)与非门电路 逻辑状态表 ABF0(0V)0(0V)1(5.721与非门电路波形图。与非门电路波形图。这种分立元件的门电路虽然电路结构简单,但由这种分立元件的门电路虽然电路结构简单,但由于二极管正向压降的影响会产生电平偏离,并且于二极管正向压降的影响会产生电平偏离,并且速度较低、带负载能力差,现在一般都被集成逻速度较低、带负载能力差,现在一般都被集成逻辑门电路所取代。辑门电路所取代。与非门电路波形图。这种分立元件的门电路虽然电路结构简单,但222.2 TTL2.2 TTL集成逻辑门电路集成逻辑门电路 2.2.1 TTL2.2.1 TTL与非门电路与非门电路2.2.2 TTL2.2.2 TTL集电极开路门和三态门电路集电极开路门和三态门电路2.2.3 TTL2.2.3 TTL集成电路的系列产品集成电路的系列产品2.2 TTL集成逻辑门电路 2.2.1 TTL与非门电路2232.2.1 TTL2.2.1 TTL与非门电路与非门电路输入级和输出级均采用晶体三极管,称为晶体三极输入级和输出级均采用晶体三极管,称为晶体三极管管-晶体三极管逻辑电路,简称晶体三极管逻辑电路,简称TTL电路。电路。1.电路结构电路结构2.2.1 TTL与非门电路输入级和输出级均采用晶体三极管,24(1)输入级。)输入级。对输入变量实现对输入变量实现“与与”运算,运算,输入级相当于一个与门。输入级相当于一个与门。(2)中间级。)中间级。实现放大和倒相功能。向后级实现放大和倒相功能。向后级提供两个相位相反的信号,分提供两个相位相反的信号,分别驱动别驱动T3、T4管。管。(3)输出级。)输出级。减小电路的输出电阻,提高输出减小电路的输出电阻,提高输出带负载能力和抗干扰能力。带负载能力和抗干扰能力。T3和和T4管总处于一管导通而另一管截管总处于一管导通而另一管截止的工作状态。止的工作状态。(1)输入级。对输入变量实现“与”运算,输入级相当于一个与门252.工作原理工作原理当输入全为高电平,当输入全为高电平,UA=UB=3.6V,T1的两个发射结都反偏,集电的两个发射结都反偏,集电结正偏。结正偏。T2和和T4饱和导通。饱和导通。T3和和D3都截止,输出低电平。都截止,输出低电平。当输入中至少有一个为低电平时,当输入中至少有一个为低电平时,T1的两个发射结必的两个发射结必然有一个导通,然有一个导通,T2和和T4均截止,而此时均截止,而此时T3和和D3导通,导通,输出高电平输出高电平。即输入输出之间实现了即输入输出之间实现了“与非与非”的逻辑关系。的逻辑关系。2.工作原理当输入全为高电平,UA=UB=3.6V,T1的26电压传输特性是指特性是指输出出电压 随输入电压随输入电压 变化的变化的关系曲线,即关系曲线,即 3.TTL与非门传输特性与非门传输特性AB-截止区-线性区-转折区-饱和区BCCDDE电压传输特性是指输出电压 随输入电压 变化的关系274.主要参数主要参数(1)输入和输出的高、低电平。)输入和输出的高、低电平。输入低电平的上限值输入低电平的上限值UIL(max)输入高电平的下限值输入高电平的下限值UIH(min)输出低电平的上限值输出低电平的上限值UOL(max)输出高电平的下限值输出高电平的下限值UOH(min)(2)开门电平)开门电平UON和关门电平和关门电平UOFF。保证输出电压为额定低电平时,所允许的最小输入保证输出电压为额定低电平时,所允许的最小输入高电平,即只有当高电平,即只有当 时,输出才是低电平。时,输出才是低电平。保证输出电压为额定高电平时,所允许的最大输入保证输出电压为额定高电平时,所允许的最大输入低电平,即只有当低电平,即只有当 时,输出才是低电平。时,输出才是低电平。4.主要参数(1)输入和输出的高、低电平。输入低电平的上限28(3)阈值电压)阈值电压UTH。电压传输特性曲线转折区的中点所对应的输入电电压传输特性曲线转折区的中点所对应的输入电压值压值-使输出发生高低电平转换的输入电压值,使输出发生高低电平转换的输入电压值,也称门槛电压。也称门槛电压。TTL与非门的阈值电压与非门的阈值电压UTH=1.4V左右。左右。(4)噪声容限。)噪声容限。保证电路正常输出的前提下,输入电平允许波动保证电路正常输出的前提下,输入电平允许波动的最大范围。的最大范围。输入高电平噪声容限输入高电平噪声容限UNH:输入高电平时,保证输入高电平时,保证TTL电路仍可正常输出电路仍可正常输出的最大允许负向干扰电压。的最大允许负向干扰电压。(3)阈值电压UTH。电压传输特性曲线转折区的中点所对应的输29UNHUOH(min)UIH(min)显然,显然,输入低电平噪声容限输入低电平噪声容限UNL:输入低电平时,保证输入低电平时,保证TTL电路仍可正常输出的最大电路仍可正常输出的最大允许正向干扰电压。允许正向干扰电压。UNLUIL(max)UOL(max)噪声容限越大,集成门电噪声容限越大,集成门电路的抗干扰能力越强。路的抗干扰能力越强。输入噪声容限示意图输入噪声容限示意图UNHUOH(min)UIH(min)显然,输入低电30(5)传输延迟时间)传输延迟时间tpd。电路在动态脉冲信号作电路在动态脉冲信号作用下,输出脉冲相对于输用下,输出脉冲相对于输入脉冲延迟了多长时间。入脉冲延迟了多长时间。tPHL -输出电压由高变低,输出脉冲的延迟时间;输出电压由高变低,输出脉冲的延迟时间;tPLH -输出电压由低变高,输出脉冲的延迟时间。输出电压由低变高,输出脉冲的延迟时间。这两个延迟时间的平均值称为平均传输延迟时间这两个延迟时间的平均值称为平均传输延迟时间tpd。TTL门电路的平均传输延迟时间门电路的平均传输延迟时间tpd一般在一般在20nS左右。左右。(5)传输延迟时间tpd。电路在动态脉冲信号作用下,31(6)扇入扇出数。)扇入扇出数。扇入数:扇入数:-门电路输入端的个数,用门电路输入端的个数,用NI表示。表示。对于一个对于一个2输入的输入的“或非或非”门,其扇入数门,其扇入数NI2。扇出数:扇出数:-门电路在正常工作时,门电路在正常工作时,所能带同类门电路的最大数目,所能带同类门电路的最大数目,它表示带负载能力。它表示带负载能力。拉电流负载:拉电流负载:(存在高电平下限值)。(存在高电平下限值)。(6)扇入扇出数。扇入数:扇出数:拉电流负载:(存在32灌电流负载:灌电流负载:(低电平存在上限值)(低电平存在上限值)通常逻辑器件扇出数须通过计算或实验的方法求得。通常逻辑器件扇出数须通过计算或实验的方法求得。若若NOLNOH,一般取两者中的最小值。,一般取两者中的最小值。为了能够保证数字电路或系统能正常工作,在设计时还为了能够保证数字电路或系统能正常工作,在设计时还需要注意要留有一定的余地。需要注意要留有一定的余地。灌电流负载:(低电平存在上限值)通常逻辑器件扇出数须通过335.常用常用TTL与非门集成芯片与非门集成芯片74LS004-2输入与非门输入与非门 74LS046反相器反相器74U202-4输入与非门输入与非门 74LS084-2输入与门输入与门74LS024-2输人或非门输人或非门 74LS86异或门异或门 74LS0074LS00引脚图和逻辑符号引脚图和逻辑符号 5.常用TTL与非门集成芯片74LS004-2输入与非门34例例 如图所示电路,已知如图所示电路,已知74LS00门电路参数为:门电路参数为:IOH/IOL=1.0mA/-20mA,IIH/IIL=50A/-1.43mA求门求门GP的扇出数是多少?的扇出数是多少?解:解:门门GP输出低电平时,设可带门输出低电平时,设可带门数为数为NL:门G GP P输出高出高电平平时,设可可带门数数为N NH H:取最小值,取最小值,扇出系数扇出系数=14。例 如图所示电路,已知74LS00门电路参数为:IOH/352.2.2 TTL2.2.2 TTL集电极开路门和三态门电路集电极开路门和三态门电路1.TTL集电极开路门电路(集电极开路门电路(OC门)门)“线与线与”-将两个以上门将两个以上门电路的输出端直接并电路的输出端直接并联以实现联以实现“与与”逻辑逻辑的功能。的功能。如图,低阻通路产生很大如图,低阻通路产生很大电流,可能烧坏器件,且电流,可能烧坏器件,且无法确定输出是高电平还无法确定输出是高电平还是低电平。是低电平。2.2.2 TTL集电极开路门和三态门电路TTL集电极开路门36OC门的输出级三极管门的输出级三极管T4集电极集电极悬空,即输出管悬空,即输出管T4集电极开路,集电极开路,故称为集电极开路门。故称为集电极开路门。使用时需要外接负载电阻使用时需要外接负载电阻RL(或称上拉电阻)及电源。(或称上拉电阻)及电源。逻辑符号逻辑符号 OC门的输出级三极管T4集电极悬空,即输出管T4集电极开路,37OC门主要应用于实现线与、电平转换以及用做驱动门主要应用于实现线与、电平转换以及用做驱动显示。显示。将若干个将若干个OC门输出端连接在一起再接一个上拉电阻门输出端连接在一起再接一个上拉电阻和电源,即可构成各输出变量间的和电源,即可构成各输出变量间的“与与”逻辑逻辑-“线线与与”。OCOC门实现电平转换门实现电平转换 OCOC门驱动发光二极管门驱动发光二极管 OC门主要应用于实现线与、电平转换以及用做驱动显示。将若干382.三态门输出三态门输出2.三态门输出39当使能输入端当使能输入端EN1时,门电路相当时,门电路相当于二输入的与非门;于二输入的与非门;当使能输入端当使能输入端EN为低电平时,为低电平时,从输出端看进去,对地和电源都相从输出端看进去,对地和电源都相当于开路,呈现高阻抗(当于开路,呈现高阻抗(Z状态)。状态)。高阻态并无逻辑值,仅表示电路与其他电路无关联,所高阻态并无逻辑值,仅表示电路与其他电路无关联,所以三态电路仍是二值逻辑电路。以三态电路仍是二值逻辑电路。低电平有效三态与非门低电平有效三态与非门由于该电路有由于该电路有高电平、高电平、低电平和高阻态低电平和高阻态三种状三种状态,所以称之为态,所以称之为三态门三态门。当使能输入端EN1时,门电路相当于二输入的与非门;当使能40高电平有效的三态高电平有效的三态与非门电路真值表与非门电路真值表 ENENA AB BF F1 10 00 01 11 10 01 11 11 11 10 01 11 11 11 10 00 0高阻高阻门电路的三态输出主要门电路的三态输出主要应用于多个门输出共享应用于多个门输出共享数据或控制信号总线传数据或控制信号总线传输,这样可以减少输出输,这样可以减少输出连线。连线。为避免多个门输出同时为避免多个门输出同时占用数据总线,这些门占用数据总线,这些门的使能信号(的使能信号(EN)中只)中只允许有一个为有效电平允许有一个为有效电平(如高电平)。(如高电平)。高电平有效的三态ENABF1001101111011110041只要保证任何时刻只有一个三态门的使能端有效,即只要保证任何时刻只有一个三态门的使能端有效,即可实现多路数据通过一条总线进行传送的功能。可实现多路数据通过一条总线进行传送的功能。另外,利用三态门还可另外,利用三态门还可以实现数据的双向传输。以实现数据的双向传输。只要保证任何时刻只有一个三态门的使能端有效,即可实现多路数据422.2.3 TTL2.2.3 TTL集成电路的系列产品集成电路的系列产品74系列:中速系列,系列:中速系列,TTL集成电路早期产品,平均传集成电路早期产品,平均传输延迟时间约为输延迟时间约为10ns,但平均功耗每门约,但平均功耗每门约10mW,现,现已基本淘汰。已基本淘汰。74L系列:为低功耗系列:为低功耗TTL系列,又称系列,又称LTTL系列。系列。74H系列:高速系列,采用抗饱和三极管,在工作速系列:高速系列,采用抗饱和三极管,在工作速度方面得到改善,度方面得到改善,平均传输延迟时间约为普通型的平均传输延迟时间约为普通型的二分之一,约为二分之一,约为6ns,但是平均功耗增加了,每门约,但是平均功耗增加了,每门约为为22mW。74S(又称(又称STTL)系列:为肖特基系列。工作速度)系列:为肖特基系列。工作速度和功耗均得到了明显改善。和功耗均得到了明显改善。2.2.3 TTL集成电路的系列产品74系列:中速系列,TT43速度和功耗上较前系列进一步提高。速度和功耗上较前系列进一步提高。其速度和功耗介于其速度和功耗介于74AS和和74ALS系列之间,系列之间,广泛应用于速度要求较高的广泛应用于速度要求较高的TTL逻辑电路逻辑电路。74F系列:系列:74AS和和74ALS系列:系列:速度和功耗上较前系列进一步提高。其速度和功耗介于74AS和7442.3 CMOS2.3 CMOS集成逻辑门电路集成逻辑门电路2.3.1 MOS2.3.1 MOS开关及其等效电路开关及其等效电路2.3.2 2.3.2 常用常用CMOSCMOS逻辑门电路逻辑门电路2.3.3 CMOS2.3.3 CMOS逻辑门系列逻辑门系列2.3 CMOS集成逻辑门电路2.3.1 MOS开关及其等效45-由金属氧化物绝缘栅型场效应(由金属氧化物绝缘栅型场效应(MOS)管)管构成的单极型集成电路。构成的单极型集成电路。主要有三种类型:主要有三种类型:NMOS门电路、门电路、PMOS门电路和门电路和CMOS门电路。门电路。CMOS电路较之电路较之TTL电路具有以下优点:电路具有以下优点:功耗低、功耗低、静态电流小(约为纳安数量级)、抗干扰能力强、静态电流小(约为纳安数量级)、抗干扰能力强、电源电压范围宽、输入阻抗高、负载能力强等,应电源电压范围宽、输入阻抗高、负载能力强等,应用广泛。用广泛。MOS电路电路-由金属氧化物绝缘栅型场效应(MOS)管构成的单极型集成电462.3.1 MOS2.3.1 MOS开关及其等效电路开关及其等效电路1.MOS管开关等效电路管开关等效电路输出特性曲线输出特性曲线电路电路2.3.1 MOS开关及其等效电路MOS管开关等效电路输出特47MOS管截止,,此时的此时的MOS管相当于受控制的可变电阻,管相当于受控制的可变电阻,且且 越大,输出特性曲线越陡峭,越大,输出特性曲线越陡峭,RON(导通电阻)越小。(导通电阻)越小。当输入电压当输入电压 较小时,有较小时,有 ,当当 增加,使增加,使 ,MOS管工作在可变电阻区。管工作在可变电阻区。,即即MOS管分别工作在截管分别工作在截止区和可变电阻区时,止区和可变电阻区时,相当于受相当于受 控制的一个控制的一个无触点开关无触点开关。MOS管截止,,此时的MOS管相当于受控制482.MOS管开关特性管开关特性由于由于MOS管本身存在电容效应及导通电阻,在输入端管本身存在电容效应及导通电阻,在输入端加入一个理想脉冲信号时,导通闭合两种状态之间转加入一个理想脉冲信号时,导通闭合两种状态之间转换会受到电容充放电的影响,使输出波形边沿变得缓换会受到电容充放电的影响,使输出波形边沿变得缓慢,输出电压的变化也会滞后于输入电压的变化。慢,输出电压的变化也会滞后于输入电压的变化。为减小开关时间,可以用为减小开关时间,可以用P沟道沟道MOS管来代替电阻管来代替电阻RD,就构成所谓的就构成所谓的CMOS开关。开关。2.MOS管开关特性由于MOS管本身存在电容效应及导通电阻,492.3.2 2.3.2 常用常用CMOSCMOS逻辑门电路逻辑门电路1.CMOS反相器反相器(1)工作原理。)工作原理。TN管为工作管,管为工作管,N沟道沟道MOS增强型增强型场效应管,开启电压场效应管,开启电压UTN。TP管为负载管(作漏极负载管为负载管(作漏极负载Rd),),P沟道沟道MOS增强型场效应管,开启增强型场效应管,开启电压电压UTP。栅极栅极g接在一起,作为输入端接在一起,作为输入端 ;漏极漏极d接在一起,作为输出端接在一起,作为输出端 。电源电源UDD须大于两只须大于两只MOS管的开启管的开启电压的绝对值之和电压的绝对值之和 即即UDD UTN+UTP 2.3.2 常用CMOS逻辑门电路CMOS反相器(1)工作原50当输入电压当输入电压 为低电平为低电平“0”时,时,工作管工作管TN因其因其UGS小于开启电压小于开启电压UTN而截止,负载管而截止,负载管TP因其因其UGS小小于开启电压于开启电压UTP而导通。而导通。工作管工作管TN截止,漏极电流近似为截止,漏极电流近似为零,输出电压零,输出电压 为高电平为高电平“1”。当输入电压当输入电压 为高电平为高电平“1”时,工作管时,工作管TN因其因其UGS大大于开启电压于开启电压UTN而导通,负载管而导通,负载管TP因其因其UGS大于开启电大于开启电压压UTP而截止,输出电压而截止,输出电压 为低电平为低电平“0”。即电路实现反相器功能,工作管即电路实现反相器功能,工作管TN和负载管和负载管TP总是工总是工作在互补的开关工作状态,即作在互补的开关工作状态,即TN 和和TP的工作状态互的工作状态互补,所以补,所以CMOS电路称为互补型电路称为互补型MOS电路。电路。当输入电压 为低电平“0”时,工作管TN因其UGS小于51(2)CMOS反相器电压传输特性和电流传输特性。反相器电压传输特性和电流传输特性。(2)CMOS反相器电压传输特性和电流传输特性。522.漏极开路门(漏极开路门(OD门)门)3.三态(三态(TSL)输出门电路)输出门电路2.漏极开路门(OD门)3.三态(TSL)输出门电路534.CMOS传输门传输门又称模拟开关,既可以传输数字信号,也可以传输又称模拟开关,既可以传输数字信号,也可以传输模拟信号。模拟信号。4.CMOS传输门又称模拟开关,既可以传输数字信号,也可以传54RON小于小于1k,典型值为,典型值为80,漏极和源极之间相,漏极和源极之间相当于短路,输出等于输入。当于短路,输出等于输入。即即C=1时,传输门打开时,传输门打开C=0时,传输门关闭,输入和输时,传输门关闭,输入和输出之间呈现出高阻抗状态,不能出之间呈现出高阻抗状态,不能进行信号传输。进行信号传输。C=1时,时,在整个输入电压范围在整个输入电压范围-5V+5V内,内,至少有一个场效应管导通。场效应至少有一个场效应管导通。场效应管导通,漏源间的沟道导通电阻管导通,漏源间的沟道导通电阻 RON小于1k,典型值为80,漏极和源极之间相当于短路,552.3.3 CMOS2.3.3 CMOS逻辑门系列逻辑门系列4000系列:早期基本的系列:早期基本的CMOS集成逻辑门系列,后集成逻辑门系列,后来发展为来发展为4000B,应用十分广泛。其工作电压宽,应用十分广泛。其工作电压宽(318V)、功耗低、抗干扰能力强,但工作速度)、功耗低、抗干扰能力强,但工作速度较慢,平均传输延迟时间为几十纳秒,最高工作频较慢,平均传输延迟时间为几十纳秒,最高工作频率小于率小于5MHz,且与,且与TTL不兼容。不兼容。74HC和和74HCT系列:系列:74HC系列的其工作电压为系列的其工作电压为26V。与。与4000B系列相比,其工作速度快,且带负系列相比,其工作速度快,且带负载能力强。载能力强。74HCT系列工作电压为系列工作电压为4.5V5.5V,并,并可与可与TTL兼容。兼容。2.3.3 CMOS逻辑门系列4000系列:早期基本的CMO5674LVC和和74AUC系列:这种系列是近年来随着便携系列:这种系列是近年来随着便携设备的发展,要求使用体积小,功耗低、电池耗电设备的发展,要求使用体积小,功耗低、电池耗电小的半导体器件,因此先后推出了低电压小的半导体器件,因此先后推出了低电压CMOS器器件件74LVC系列,及超低压系列,及超低压CMOS器件器件74AUC系列,系列,并且半导体制造工艺可以使它们的成本更低、速度并且半导体制造工艺可以使它们的成本更低、速度更快,同时大多数低电压器件的输入输出电平可以更快,同时大多数低电压器件的输入输出电平可以与与5V的的CMOS或或TTL电平兼容。电平兼容。74VHC和和74VHCT系列:保持系列:保持74HC和和74HCT系列系列的功耗低等优点,并且在工作速度达到的功耗低等优点,并且在工作速度达到74HC和和74HCT系列的两倍。系列的两倍。74LVC和74AUC系列:这种系列是近年来随着便携设备的发572.4 2.4 集成门电路的应用注意事项集成门电路的应用注意事项2.4.1 TTL2.4.1 TTL门电路使用注意事项门电路使用注意事项 2.4.2 CMOS2.4.2 CMOS门电路的使用注意事项门电路的使用注意事项2.4.3 2.4.3 门电路之间的接口问题门电路之间的接口问题2.4.4 2.4.4 需要注意的其他事项需要注意的其他事项2.4 集成门电路的应用注意事项2.4.1 TTL门电路使用582.4.1 TTL2.4.1 TTL门电路使用注意事项门电路使用注意事项 1.电源电压范围电源电压范围TTL集成电路的电源电压允许变化范围较窄,集成电路的电源电压允许变化范围较窄,54系列电源一般为系列电源一般为5V 0.5V;74系列电源为系列电源为5V 0.25V。因此必须使用。因此必须使用+5V稳压电源。稳压电源。2.对多余输入端的处理对多余输入端的处理对于对于TTL电路,多余的输入端是允许悬空。悬空电路,多余的输入端是允许悬空。悬空时,该端的逻辑输入状态一般都作为时,该端的逻辑输入状态一般都作为“1”高电高电平对待。但最好不要悬空,这样易受干扰。平对待。但最好不要悬空,这样易受干扰。对多余输入端的处理以不改变逻辑关系及稳定对多余输入端的处理以不改变逻辑关系及稳定可靠性为前提,要根据实际需要作适当处理。可靠性为前提,要根据实际需要作适当处理。2.4.1 TTL门电路使用注意事项 电源电压范围TTL集成59一种方法是将多余输入端并联使用。但速度会降低,一种方法是将多余输入端并联使用。但速度会降低,工作速度要求不高时可以采用这种方法。工作速度要求不高时可以采用这种方法。另一种方法可根据逻辑关系的要求接地或接高电平。另一种方法可根据逻辑关系的要求接地或接高电平。与门(与非门)的多余输入端可以通过与门(与非门)的多余输入端可以通过13K电电 阻或直接接到电源正端,阻或直接接到电源正端,或门(或非门)的多余输入端可以接地。或门(或非门)的多余输入端可以接地。3.输出端的处理输出端的处理普通普通TTL门电路输出端不允许直接并联或接电源、门电路输出端不允许直接并联或接电源、接地使用,否则将会使电路的逻辑功能混乱并损坏接地使用,否则将会使电路的逻辑功能混乱并损坏器件。器件。TSL门电路输出端可以并联使用;门电路输出端可以并联使用;OC门输出端也可门输出端也可以并联使用,但公共端与电源间要接有负载以并联使用,但公共端与电源间要接有负载RL。一种方法是将多余输入端并联使用。但速度会降低,工作速度要求不60CMOS电路要求输入信号的幅度满足电路要求输入信号的幅度满足 USS UDD 2.4.2 CMOS2.4.2 CMOS门电路的使用注意事项门电路的使用注意事项1.电源电压范围电源电压范围4000系列电源系列电源315V,最大不超过,最大不超过18V;HC系列电系列电源源26V,HCT系列系列4.55.5V,最大不超过,最大不超过7V。此外,此外,CMOS电路接电源时极性不能接反;在实电路接电源时极性不能接反;在实验或调试时,开始先接电源后再通信号源,结束验或调试时,开始先接电源后再通信号源,结束时先关信号源后断电源。时先关信号源后断电源。CMOS电路要求输入信号的幅度满足2.4.2 CMOS门电路612.对多余输入端的处理对多余输入端的处理对于CMOS电路,多余的输入端绝对不能悬空。对多余输入端的处理可采用输入端并联的方法,此对多余输入端的处理可采用输入端并联的方法,此法适应于工作速度要求不高,功耗不太大时。另一法适应于工作速度要求不高,功耗不太大时。另一种方法也是根据逻辑关系的要求接地或接高电平。种方法也是根据逻辑关系的要求接地或接高电平。3.输出端的处理输出端的处理普通普通CMOS门电路输出端不允许直接并联或接电源、门电路输出端不允许直接并联或接电源、接地使用。接地使用。只有只有TSL门和门和OD门的输出端可以并联使用,同样门的输出端可以并联使用,同样的,公共端与电源间要接有负载的,公共端与电源间要接有负载RL。2.对多余输入端的处理对于CMOS电路,多余的输入端绝对不能622.4.3 2.4.3 门电路之间的接口问题门电路之间的接口问题(1)逻辑电平匹配问题)逻辑电平匹配问题 指驱动器件的输出电压要符合负载器件所指驱动器件的输出电压要符合负载器件所要求的高电平或低电平输入电压的范围。要求的高电平或低电平输入电压的范围。(2)电流匹配问题)电流匹配问题 驱动门要为负载门提供足够大的驱动电流。驱动门要为负载门提供足够大的驱动电流。(3)噪声容限、输入输出电容、开关速度等)噪声容限、输入输出电容、开关速度等 参数需要是否满足某些设计要求的问题。参数需要是否满足某些设计要求的问题。2.4.3 门电路之间的接口问题(1)逻辑电平匹配问题 指驱631.CMOS门驱动门驱动TTL门电路连接方法门电路连接方法CMOS门电路作为驱动门,门电路作为驱动门,UOH5V,UOL0V;TTL门电路作为负载门,门电路作为负载门,UIH2.0V,UIL0.8V。电平匹配符合要求。电平匹配符合要求。如如CMOS门电路门电路4000系列最大允许灌电流为系列最大允许灌电流为0.4mA,TTL门电路为门电路为1.4 mA,CMOS4000系系列驱动电流不足。电流不匹配。列驱动电流不足。电流不匹配。一是选用一是选用CMOS缓冲器,如缓冲器,如CC4009的驱动电流的驱动电流可达可达4 mA。二是选用高速二是选用高速CMOS系列产品,如系列产品,如CMOS的的54HC/74HC系列产品可以直接驱动系列产品可以直接驱动TTL电路。电路。电平:电平:电流:电流:解解决决方方法法但要注意根据电流大小计算扇出数,但要注意根据电流大小计算扇出数,负载门个数不负载门个数不能超过扇出数能超过扇出数。CMOS门驱动TTL门电路连接方法CMOS门电路作为驱动门,642.TTL门驱动门驱动CMOS门的电路连接方法门的电路连接方法接上拉电阻作为接口电路接上拉电阻作为接口电路采用电平移动电路作为接口电路采用电平移动电路作为接口电路2.TTL门驱动CMOS门的电路连接方法接上拉电阻作为接口电652.4.4 2.4.4 需要注意的其他事项需要注意的其他事项1.注意信号干扰问题注意信号干扰问题2.注意设计和安装工艺,增强抗干扰措施注意设计和安装工艺,增强抗干扰措施3.在在CMOS逻辑系统设计中,应尽量减少电容负逻辑系统设计中,应尽量减少电容负载。电容负载会降低载。电容负载会降低CMOS集成电路的工作速度集成电路的工作速度和增加功耗。和增加功耗。此外由于此外由于CMOS电路输入阻抗高,易受静电路输入阻抗高,易受静电感应发生击穿,除电路内部设置保护电路外,电感应发生击穿,除电路内部设置保护电路外,在使用和存放时应注意静电屏蔽。在使用和存放时应注意静电屏蔽。2.4.4 需要注意的其他事项注意信号干扰问题2.注意设计和66本章小结本章小结1 门电路是构成各种复杂数字电路的基本单元。门电路是构成各种复杂数字电路的基本单元。半导体二极管加正向电压时,相当于开关闭合;加半导体二极管加正向电压时,相当于开关闭合;加反向电压时,相当于开关断开。(单向导电性)反向电压时,相当于开关断开。(单向导电性)2 在门电路中作为开关器件的有二极管、三极管在门电路中作为开关器件的有二极管、三极管 和和MOS管。管。半导体三极管半导体三极管-截止,相当于开关断开;截止,相当于开关断开;-饱和,相当于开关闭合。饱和,相当于开关闭合。即相当于一个由基极电流控制的开关。即相当于一个由基极电流控制的开关。本章小结1 门电路是构成各种复杂数字电路的基本单元。半导体67MOS管是一种电压控制器件。在数字电路中管是一种电压控制器件。在数字电路中MOS管管工作于可变电阻区和截止区,导通时相当于开关闭合,工作于可变电阻区和截止区,导通时相当于开关闭合,截止时相当开关断开。截止时相当开关断开。3 分立元件门电路是最简单的门电路,可由半导体分立元件门电路是最简单的门电路,可由半导体二极管、三极管构成基本逻辑电路,是集成逻辑门电二极管、三极管构成基本逻辑电路,是集成逻辑门电路的基础,但体积大、工作可靠性较差。路的基础,但体积大、工作可靠性较差。4 TTL集成逻辑门电路提高了开关速度,也使电路集成逻辑门电路提高了开关速度,也使电路有较强的驱动负载的能力和抗干扰的优点。有较强的驱动负载的能力和抗干扰的优点。在在TTL系列中,除了有实现各种基本逻辑功能的门电系列中,除了有实现各种基本逻辑功能的门电路以外,还有集电极开路门和三态门,它们能够实现路以外,还有集电极开路门和三态门,它们能够实现线与,还可用来驱动需要一定功率的负载。线与,还可用来驱动需要一定功率的负载。MOS管是一种电压控制器件。在数字电路中MOS管工作于可变电685 CMOS电路由电路由PMOS管和管和NMOS管组成互管组成互补补MOS电路,具有功耗低、集成度高、扇出系电路,具有功耗低、集成度高、扇出系数大、开关速度高、噪声容限大、抗干扰能力数大、开关速度高、噪声容限大、抗干扰能力强等优点。强等优点。6 在逻辑电路使用过程中,有可能遇到不同在逻辑电路使用过程中,有可能遇到不同类型门电路间的接口问题、门电路与负载之间类型门电路间的接口问题、门电路与负载之间的接口问题及抗干扰问题等,为了更好地使用的接口问题及抗干扰问题等,为了更好地使用数字集成芯片,应熟悉数字集成芯片,应熟悉TTL和和CMOS各个系列各个系列产品的外部电气特性及主要参数,能正确处理产品的外部电气特性及主要参数,能正确处理多余输入端,正确分析与解决这些问题。多余输入端,正确分析与解决这些问题。5 CMOS电路由PMOS管和NMOS管组成互补MOS电路697常用门电路,性能比较常用门电路,性能比较 名名 称称优 点点用用 途途TTLTTL(晶体管(晶体管-晶体管晶体管逻辑)功耗功耗较低、速度高,但低、速度高,但对电源源变化敏感,抗干化敏感,抗干扰能力不能力不强强。中小中小规模集成模集成电路、高路、高速信号速信号处理和各种接口理和各种接口应用。用。CMOSCMOS(互(互补金属氧化物半金属氧化物半导体器件)体器件)功耗很低、工功耗很低、工艺简单、集成度高、抗干集成度高、抗干扰能力能力强强,但速度一般,但速度一般,对静静电破坏敏感。破坏敏感。中小中小规模集成模集成电路、自路、自动控制系控制系统、数字化、数字化仪表等。表等。ECLECL(射极耦合(射极耦合逻辑)速度快、速度快、负载能力能力强强,但工但工艺复复杂、功耗大、功耗大、抗干抗干扰能力能力较弱。弱。中小中小规模集成模集成电路、主路、主要用在要用在对速度要求速度要求较高高的数字系的数字系统中。中。I I2 2L L(集成注入(集成注入逻辑)电路路简单易于集成,功易于集成,功耗低,但高低耗低,但高低电平差平差值小,抗干小,抗干扰能力差。能力差。不制成不制成单个个门电路,主路,主要在大要在大规模、超大模、超大规模模集成集成电路中路中应用,如大用,如大规模模逻辑阵列、存列、存储器器等。等。7常用门电路,性能比较 名 称优 点用 途TTL功708 常用系列门电路的主要参数表常用系列门电路的主要参数表TTLTTLCMOSCMOS高速高速CMOSCMOS747474LS74LS74ALS74ALS4000400074HC74HC74HCT74HCTU UOHOH(minmin)/V/V2.42.42.72.72.72.74.64.64.44.44.44.4U UOLOL(maxmax)/V/V0.40.40.50.50.50.50.050.050.10.10.330.33I IOHOH(maxmax)/mA/mA4 44 44 40.40.44 44 4I IOLOL(maxmax)/mA/mA16168 88 80.40.44 44 4U UIHIH(minmin)/V/V2 22 22 23.53.53.153.152 2U UILIL(maxmax)/V/V0.80.80.80.80.80.81.51.51.351.350.80.8I IIHIH(maxmax)/A/A4040202020200.10.10.10.10.10.1I IILIL(maxmax)/A/A 160016004004002002000.10.10.10.10.10.1系系数数参参列列8 常用系列门电路的主要参数表TTLCMOS高速CMOS771
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!