2020年半导体设备行业报告:国产替代

上传人:good****022 文档编号:116495073 上传时间:2022-07-05 格式:PPT 页数:37 大小:3.99MB
返回 下载 相关 举报
2020年半导体设备行业报告:国产替代_第1页
第1页 / 共37页
2020年半导体设备行业报告:国产替代_第2页
第2页 / 共37页
2020年半导体设备行业报告:国产替代_第3页
第3页 / 共37页
点击查看更多>>
资源描述
2020 年 06 月 30 日 内容目录 一、中芯国际上市,加速设备国产替代进程.5 二、设备市场:大陆需求快速增长,国产替代提速.6 2.1 全球设备市场回暖,受益于制程进步、产能投放 .6 2.2 前道设备占主要部分,测试需求增速最快 .10 2.3 全球市场受海外厂商误导,前五大厂商市占率较高.12 2.4 国内需求爆发,国产替代进展加速 .14 三、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小.16 四、涂胶显影:与光刻机配合,实现图形转移.19 五、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加.23 六、薄膜设备:用于沉积物质,在设备市场占比较高.28 七、清洗设备:去除晶圆片表面杂质,各制程前后均需使用.30 八、掺杂设备:改变表层电导率/形成 PN 结,实现器件.30 九、氧化形成器件,快速退火修复晶格.32 十、过程控制:制造过程的准确性检测.33 十一:测试设备:用于测试晶圆片及成品 .36 十二、投资建议.38 十三、风险提示.38 图表目录 图表 1:募集资金用途(单位:万元) .5 图表 2:中芯国际重要的产业链地位.5 图表 3:中芯国际一站式的解决方案.5 图表 4:中国“芯”阵列 .6 图表 5:全球半导体设备销售额(十亿美元) .7 图表 6:全球半导体设备销售额(十亿美元) .7 图表 7:半导体设备市场增速周期性.7 图表 8:海外半导体设备龙头营业收入增速跟踪.8 图表 9:海外半导体设备龙头 GAAP 净利润(百万美元).8 图表 10:晶圆代工企业资本开支(百万美元) .9 图表 11:全球半导体资本开资(百万美元).9 图表 12:100K 产能对应投资额要求(亿美元).10 图表 13:半导体制造领域典型资本开支分布.10 图表 14:全球半导体设备按工艺流程划分(百万美元) .11 图表 15:全球半导体前道设备划分(百万美元) .11 图表 16:全球半导体测试设备划分(百万美元) .12 图表 17:集成电路前道工艺对应设备.12 图表 18:AMAT、LAM、TEL 主导大部分前道工艺 .13 图表 19:全球半导体设备厂商排名(百万美元) .13 图表 20:五大设备厂商行业格局(百万美元) .13 图表 21:国内晶圆厂投资规模(亿元) .14 图表 22:国产设备替代进程 .15 P.2请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 23:全球晶圆厂资本开支(百万美元).15 图表 24:国内晶圆厂内资投资需求(亿元).16 图表 25:国内晶设备厂商空间测算(亿元).16 图表 26:光刻机技术特点 .17 图表 27:光刻机技术路径 .17 图表 28:光刻机技术示意图 .17 图表 29:EUV 目标市场范围.18 图表 30:Foundry 和 DRAM 精度仍然会不断提升 .18 图表 31:两次技术分水岭奠定光刻机格局 .19 图表 32:光刻工艺流程.20 图表 33:半导体图案转移关键步骤 .20 图表 34:光刻胶原理 .21 图表 35:光刻胶市场规模 .21 图表 36:光刻胶生产企业 .21 图表 37:涂胶显影市场(百万美元).22 图表 38:涂胶显影市场格局 .22 图表 39:去胶机市场(百万美元) .23 图表 40:刻蚀工艺分类.24 图表 41:刻蚀类别 .25 图表 42:刻蚀设备步骤增加 .25 图表 43:刻蚀市场主要驱动力将来自于存储.26 图表 44:多重成像技术.26 图表 45:刻蚀步骤逐渐增加 .27 图表 46:干法刻蚀市场(百万美元).27 图表 47:刻蚀在晶圆设备市场比重提升 .27 图表 48:薄膜设备分类.28 图表 49:CVD、PVD 占晶圆设备比 .28 图表 50:典型 CVD 工艺流程.29 图表 51:2018 年沉积设备市场结构(百万美元).29 图表 52:清洗原理 .30 图表 53:清洗环节 .30 图表 54:扩散与离子注入 .31 图表 55:掺杂形成不同器件 .31 图表 56:离子注入机市场空间(百万美元).32 图表 57:离子注入市场份额 .32 图表 58:SiO2 的用途 .32 图表 59:RTA 修复晶格缺陷 .33 图表 60:氧化/扩散/热处理市场(百万美元) .33 图表 61:区分过程控制(检测、测量)和 ATE(测试) .34 图表 62:不同环节关键过程控制指标.34 图表 63:过程控制细分市场(百万美元) .35 图表 64:2018 年过程控制市场格局科磊 WFE 收入拆分 .35 图表 65:科磊产品系列.35 图表 66:上海精测产品布局 .35 图表 67:集成电路生产及测试具体流程图 .36 图表 68:集成电路测试设备主要功能.36 P.3请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 69:全球半导体 ATE 测试设备市场.37 图表 70: 泰瑞达和爱德万半导体设备业务收入(亿美元) .37 图表 71:2018 年中国集成电路测试设备的市场结构 .37 P.4请仔细阅读本报告末页声明 2020 年 06 月 30 日 一、中芯国际上市,加速设备国产替代进程 中芯国际回归 A 股,国产晶圆制造崛起。中芯国际公布将于科创板上市,拟发行 16.86 亿股募集 200 亿,国产晶圆制造龙头强势回归 A 股,募集资金主要投资于:(1)40%用 于投资 12 英寸 SN1 项目(中芯南方一期);(2)20%用于公司现金及成熟工艺研发项目 的储备资金;(3)40%用于补充流动资金。 图表 1:募集资金用途(单位:万元) 序号 1 项目名称募集资金投资额 800,000.00 拟投入资金比例 40.00%12 英寸芯片 SN1 项目 先进及成熟工艺研发项目储备资金 补充流动资金 2400,000.0020.00% 3800,000.0040.00% 合计 2,000,000.00100.00% 资料来源:中芯国际招股说明书,国盛证券研究所 中芯国际在国内芯片产业链地位中占有举足轻重的地位。公司不断加速技术研发,建立 关键平台和战略联盟,致力于成为世界一流的主流代工厂。公司提供一站式服务,除集 成电路晶圆代工外,在设计服务与 IP 支持、光掩膜制造、凸块加工及测试方面提供完备 配套服务,先进程度国内领先,涵盖绝大部分下游应用。 图表 2:中芯国际重要的产业链地位图表 3:中芯国际一站式的解决方案 资料来源:公司官网、国盛证券研究所资料来源:公司官网、国盛证券研究所 持续关注中国“芯”阵列核心标的,如晶圆代工、封测、IP 授权及设计服务、设备材料 等国产化机会。随着中芯国际即将于科创板上市,A 股国产半导体家族将再得一名大将。 随着当前国产半导体板块的日渐完善,我们已经看到从 IP 授权及设计服务、设计、晶圆 代工、封测、设备、以及材料多领域的不同程度的国产化出现。 P.5请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 4:中国“芯”阵列 资料来源:国盛电子整理、国盛证券研究所 中芯国际火速过会,科创板登陆在即;长存二期(产能从 50K 到 100K)宣布启动, 64 层稳定生产,128 层成功研制。20202022 年内资晶圆厂每年规划投资金额均超千 亿,后续有望加大国产设备、材料、OSAT 链条的扶持力度。在国产替代趋势下,目前 产业跟踪来看代工、封装、测试以及配套设备、材料已经开始实质性受益,整体实力得 到显著提升。 设备厂商国产替代明显加速。全球半导体设备市场约 500600 亿美元,大陆占比持续 提高。中微、北方华创在设备领域持续放量,武汉精鸿检测设备落地、上海精测膜厚设 备突破。根据长存 20H1 的订单,各品类出货量占比程度看,刻蚀(中微 26%、北方华 创 9%)、薄膜(北方华创 16%、沈阳拓荆 5%)、清洗(盛美 19%)、热处理(北方华 创 35%),国产替代比率已经实现较大提升。 二、设备市场:大陆需求快速增长,国产替代提速 2.1 全球设备市场回暖,受益于制程进步、产能投放 全球每年半导体设备市场规模约 500600亿,大陆占比 2025%。根据SEMI, 2019Q4 半导体设备销售额 178 亿美元,同比增长 19%,环比增长 24%,单季度半导体设备销 售额创历史新高。按地区分布,贡献最大的分别是中国大陆(同比增长 59%)、中国台 湾(同比增长 121%) 。 P.6请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 5:全球半导体设备销售额(十亿美元) 资料来源:wind,国盛证券研究所 图表 6:全球半导体设备销售额(十亿美元) 资料来源:wind,国盛证券研究所 半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。 图表 7:半导体设备市场增速周期性 资料来源:wind,国盛证券研究所 P.7请仔细阅读本报告末页声明 2020 年 06 月 30 日 半导体设备周期逐渐回暖,2020Q1 受疫情短期产生波动。伴随着下游资本开支提升, 设备厂商营业收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货 推迟,导致单季度收入增速下调。以 ASML 为例,如果没有新冠疫情,2020Q2 将成为 一个非常强劲的发货季节,收入环比达到 50%以上。ASML 表示下游对于先进的光刻设 备需求有增无减。 图表 8:海外半导体设备龙头营业收入增速跟踪 资料来源:彭博、公司官网,国盛证券研究所 图表 9:海外半导体设备龙头 GAAP 净利润(百万美元) 资料来源:彭博、公司官网,国盛证券研究所 Capex 进入上行期,台积电、中芯国际纷纷增加资本开支。台积电率先推进大幅资本开 支提升,推进先进制程应用。台积电 2018 年资本开支 104 亿美元,2019 年提升至 148 亿美元,2020 年预期 150160 亿美元。中芯国际 2019 年资本开支 22 亿美元,预期 2020 年上升至 43 亿美元,开启新一轮资本开支。 P.8请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 10:晶圆代工企业资本开支(百万美元) 资料来源:彭博、公司官网,国盛证券研究所 “芯拐点”、新制程、新产能推动需求。我们判断本轮反转首先来自于全球“芯”拐点, 行业向上;其次,先进制程带来的资本开支越来越重, 7nm 投资在 100 亿美元,研发 30 亿美元; 53nm 投资在 200 亿美元; 7nm 单位面积生产成本跳升,较 14nm 直接 翻倍;并且,大陆晶圆厂投建带动更多设备投资需求。 图表 11:全球半导体资本开资(百万美元) 资料来源:SEMI,国盛证券研究所 P.9请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 12:100K 产能对应投资额要求(亿美元) 资料来源:SEMI,国盛证券研究所 2.2 前道设备占主要部分,测试需求增速最快 前道设备占主要部分。设备投资一般占比 7080%,当制程到 16/14nm 时,设备投资 占比达 85%;7nm 及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、测 试三类设备分别占 85%、6%、9%。 图表 13:半导体制造领域典型资本开支分布 资料来源:gartner、国盛证券研究所 P.10请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 14:全球半导体设备按工艺流程划分(百万美元) 资料来源:gartner、国盛证券研究所 测试需求增长更快。半导体设备 20132018 年复合增长率为 15%,前道、封装、测试 分别为 15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR 24%)和存储测 试设备(CAGR 27%) 。 图表 15:全球半导体前道设备划分(百万美元) 资料来源:gartner、国盛证券研究所 P.11请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 16:全球半导体测试设备划分(百万美元) 资料来源:gartner、国盛证券研究所 图表 17:集成电路前道工艺对应设备 资料来源:芯源微、国盛证券研究所 2.3 全球市场受海外厂商误导,前五大厂商市占率较高 全球设备五强占市场主导角色。全球设备格局竞争,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、 LAM、 TEL。另外,光刻机龙头 ASML 市占率 80%+; 过程控制龙头 KLA 市占率 50%。 ASML、 AMAT、 LAM Research、 TEL、 KLA 五大厂商 2019 年半导体设备收入合计 472 亿美元,占全球市场约 78%。 P.12请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 18:AMAT、LAM、TEL 主导大部分前道工艺 资料来源:中微公司公告,国盛证券研究所 图表 19:全球半导体设备厂商排名(百万美元) 资料来源:彭博、公司公告、国盛证券研究所 综合看下来,设备五强市场在各赛道合计市占率基本在 50%以上。AMSL 优势在光刻方 面遥遥领先;AMAT 优势在产品线广,沉积(CVD、PVD)市占率高;LAM 优势在刻蚀领 域;TEL 优势在小赛道如涂胶、去胶、热处理;KLA 优势在过程控制。 图表 20:五大设备厂商行业格局(百万美元) 资料来源:彭博、公司公告、国盛证券研究所 P.13请仔细阅读本报告末页声明 2020 年 06 月 30 日 2.4 国内需求爆发,国产替代进展加速 国内晶圆厂投资金额即将进入高峰期。中国半导体设备市场 2019 年四个季度投资增速 同比-11%/-11%/-14%/59%。20Q1 预计继续保持高增速。根据统计,20202022 年国 内 晶 圆 厂 总 投 资 金 额 约 1500/1400/1200 亿 元 , 其 中 内 资 晶 圆 厂 投 资 金 额 约 1000/1200/1100 亿元。 20202022 年国内晶圆厂投资额将是历史上最高的三年,且未 来还有新增项目的可能。 图表 21:国内晶圆厂投资规模(亿元)(20202022 年为预测数据) 资料来源:集微网、公司公告、国盛证券研究所 设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从市 场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3 份额往往 高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆 厂需求,尤其是内资投建的需求。 国内国产化逐渐起航,从 0 到 1 的过程基本完成。中微公司介质刻蚀机已经打入 5nm 制程。北方华创硅刻蚀进入 SMIC 28nm 生产线量产。Mattson(屹唐半导体)在去胶设 备市占率全球第二。盛美半导体单片清洗机在海力士、长存、SMIC 等产线量产。沈阳拓 荆 PECVD 打入 SMIC、华力微 28nm 生产线量产,2018 年 ALD 通过客户 14nm 工艺验 证。精测电子、上海睿励在测量领域突破国外垄断。 P.14请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 22:国产设备替代进程 资料来源:公司公告、国盛证券研究所 制程越高,设备投资额占比越高。设备投资一般占比 7080%,当制程到 16/14nm 时, 设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处理 等均是重要投资环节。 图表 23:全球晶圆厂资本开支(百万美元) 资料来源:中微公司公告、国盛证券研究所 P.15请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 24:国内晶圆厂内资投资需求(亿元) 资料来源:公司公告、国盛证券研究所 设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,目前 国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标空间 较大。 图表 25:国内晶设备厂商空间测算(亿元) 资料来源:公司公告、国盛证券研究所 三、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小 光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上。光刻胶(正胶)受到照射 的部分,将发生化学变化,从而易溶于显影液。 瑞利公式:CD=k1*(/NA)。CD 为关键尺寸,为了降低 CD,有三种方式:(1)降低波 长;(2)提高镜头的数值孔径 NA; ( 3)降低综合因素 k1。 生产参数:(1)分辨率:可达的最小光刻图形尺寸;(2)套准精度:图形尺寸在亚微米 数量级上,套刻误差在特征尺寸 10%; (3)产率:对给定掩膜板,每小时能曝光的晶片 数量。 方案升级:接触式接近式步进式。 请仔细阅读本报告末页声明 P.16 2020 年 06 月 30 日 光源升级:1985 年之前,以 g 线(436nm)为主,最小线宽为 1um 以上;1985 年以后, 出现少量 i 线(365nm)光刻机,最小线宽 0.5um;1990 年开始出现 DUV 光刻机,最 小线宽为 0.25um;踏入 21 世纪,193nm 的深紫外线开始使用。 图表 26:光刻机技术特点 资料来源:维基百科、国盛证券研究所 图表 27:光刻机技术路径(2020 年后为预测情况) 资料来源:ASML、国盛证券研究所 图表 28:光刻机技术示意图 资料来源:维基百科、国盛证券研究所 P.17请仔细阅读本报告末页声明 2020 年 06 月 30 日 EUV 的采用利好光刻、过程控制(ASML、KLA)。根据 ASML,45K/M 的 logic 产能, 每一层需要一台 EUV; 100K/M 的 DRAM 产能,每一层需要 1.52 台 EUV。 预 估 TSMC N7 使用 7 层 ;N5 使用 14 层 。ASML 预估 EUV 层数 1020 层,目前工艺总层数多达 400600 层。 图表 29:EUV 目标市场范围(2020 年后为预测情况) 资料来源:ASML、国盛证券研究所 图表 30:Foundry 和 DRAM 精度仍然会不断提升(2020 年后为预测情况) 资料来源:ASML、国盛证券研究所 光刻机发展历史,两次技术分水岭奠定格局变化。20032004 年为第一个分水岭:ASML 选择浸润式,Nikon 选择 157nm。2010 年为第二个分水岭:EUV 量产,差距拉大。 P.18请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 31:两次技术分水岭奠定光刻机格局 资料来源:集微网、国盛电子整理、国盛证券研究所 四、涂胶显影:与光刻机配合,实现图形转移 涂胶显影设备(Track 或 Coater&Developer)是与光刻机配套使用的涂胶、烘烤及显影 设备,包括涂胶机(涂布机、匀胶机,Spin Coater)、喷胶机(适用于不规则表面晶圆 的光刻胶涂覆,Spray Coater)、显影机(Developer)。此类设备一般与光机联机作业(In Line),组成配套的晶圆片处理、光刻生产线。 涂胶:在结净干燥的圆片表面均匀的涂一层光刻胶。常用的方法是把胶滴在圆片上,然 后使圆片高速旋转,液态胶在旋转中因离心力的作用由轴心沿径向飞溅出去,受附着力 的作用,一部分光刻胶会留在圆片表面。 显影:用化学显影液溶解由曝光造成的光刻胶的可溶解区域。常用方法是将显影液喷洒 到高速旋转的圆片上,与光刻胶发生反应后形成相应的图形,然后喷洒清洗液去除显影 液及光刻胶,再喷洒定影液进行定影,经过告诉旋转甩干后,将圆片传输到烘烤单元进 行坚膜。目的是将掩膜板团复制到光刻胶上。 P.19请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 32:光刻工艺流程 资料来源:百度文库、国盛证券研究所 图表 33:半导体图案转移关键步骤 资料来源:百度文库、国盛证券研究所 光刻胶主要是作为将光刻掩膜板上的图形转移到晶圆片上的媒介。正性光刻胶之曝光部 分发生光化学反应会溶于显影液,负性光刻胶之曝光部分因交联固化而不溶于显影液。 先进工艺大多采用正胶。负胶应用早,但分辨率不如正胶,在亚微米级别以后主要采用 正胶。 P.20请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 34:光刻胶原理 资料来源:百度文库、国盛证券研究所 图表 35:光刻胶市场规模 资料来源:中国产业信息网、国盛证券研究所 图表 36:光刻胶生产企业 资料来源:中国产业信息网、国盛证券研究所 根据 Gartner, 2018 年晶圆设备涂胶显影市场约 22.6 亿美元,占半导体设备比重为 4%。 市场由日韩厂商主导:东京电子一家独大,占涂胶显影市场 90%;剩下市场 SCREEN(日)、 SEMES(韩)合计约占 10%。根据 VLSI 数据,2018 年中国大区(含中国台湾)前道涂 P.21请仔细阅读本报告末页声明 2020 年 06 月 30 日 胶显影设备市场 8.96 亿美元,2023 年将达到 10.26 亿美元。 图表 37:涂胶显影市场(百万美元)(20202023 年为预测数据) 资料来源:gartner、国盛证券研究所 图表 38:涂胶显影市场格局 资料来源:gartner、国盛证券研究所 去胶(strip):在完成图形转移后,需要将光刻胶完全去除,以避免残留的光刻胶影响 后续工艺质量。去胶机主要用于圆片刻蚀后其表面作为阻挡层的光刻胶的去除,适用于 50300mm 圆片的处理。 去胶方法:湿法去胶(有机溶剂去胶、无机溶剂去胶)、干法去胶等。主流方法是干法去 胶,通过等离子体将光刻胶去除。 Mattson:1988 年在硅谷成立,主要产品干法去胶(Dry Strip)、干法刻蚀(Dry Etch) 、 快速热处理(RTP)、毫秒级快速热处理(MSA)等。干法去胶和快速热处理设备排名世 界第二,毫秒级快速热处理设备在其细分领域也是世界前三。2016 年,亦庄国投通过屹 P.22请仔细阅读本报告末页声明 2020 年 06 月 30 日 唐半导体,以 3 亿美元收购 Mattson。2017 年实现创纪录的营收(2.52 亿美元)和盈利 (2.05 千万美元),产品进入 5nm 逻辑和最先进存储芯片生产线。2018 年 9 月,屹唐 半导体在北京亦庄的制造基地完成投产,10 月份第一批机台下线。 图表 39:去胶机市场(百万美元)(20202023 年为预测数据) 资料来源:gartner、国盛证券研究所 五、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加 刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。 被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处 理工艺。 湿法刻蚀:用液体化学剂去除衬底表面的材料。早期普遍使用,在 3um 以后由于线宽控 制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除和 残留物的清洗。 干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等离 子,与暴露的表面材料发生物理反应、化学反应。 刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比)、刻蚀坡面(各 向异性、各向同性) P.23请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 40:刻蚀工艺分类 资料来源:维基百科、国盛证券研究所 应用最广泛的刻蚀设备是 ICP 与 CCP,技术发展方向是原子层刻蚀(ALE) 。 CCP:能量高、精度低,主要用于介质材料刻蚀(形成上层线路)诸如逻辑芯片的 栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻蚀等,以及 3D 闪存 芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。2015 年 20 亿美元, TEL、LAM 合计市占率达 80%以上。 ICP:能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器件)硅浅槽隔离 (STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金 属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像技术中的多道刻蚀工艺。 ALE:未来技术发展方向,能精确刻蚀到原子层(约 0.4nm),具有超高刻蚀选择率。应 用广泛。 P.24请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 41:刻蚀类别 资料来源:维基百科、国盛证券研究所 光刻技术中许多先进制程涉及多重图形技术。即使是 EUV,波长为 13.5nm,要实现 7nm 的精度,仍需要依靠多重图形技术,即多次刻蚀。因此制程升级,精度越高,需要的刻 蚀复杂度、步骤数量也在提升。 图表 42:刻蚀设备步骤增加 资料来源:中微公司公告、国盛证券研究所 P.25请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 43:刻蚀市场主要驱动力将来自于存储 资料来源:中微公司公告、国盛证券研究所 产业发展趋势:(1)0.13um 工艺的铜互连技术出现时(300mm 时代),金属刻蚀比例 下降,介质刻蚀的比例大幅上升。(2)30nm 之后的,多重图像技术、软刻蚀应用的提 升,硅刻蚀(ICP)的占比快速提升。(3)数十层的金属互联层(后道工艺,BEOL),精 度一般在 20nm 以上的以 CCP 为主;CMOS 核心器件(前道工艺,FEOL)线宽比较少, 往往使用 20nm 以下的 ICP。 ( 4)EUV 在 foundry/DRAM 的采用,使得刻蚀步骤减少; 3D Nand 采用,使得刻蚀步骤增多,高深宽比刻蚀需求增多。 图表 44:多重成像技术 资料来源:中微公司公告、国盛证券研究所 P.26请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 45:刻蚀步骤逐渐增加(步骤数量) 资料来源:digitimes、国盛证券研究所 刻蚀设备市场在晶圆设备的比重不断提升,2017 年成为占比最高的设备,重要性不断 增强。2011 年以来,刻蚀在晶圆设备的占比从 11%逐渐提升到 20%。刻蚀设备市场基 本是法刻蚀设备,其中介质刻蚀和硅/金属刻蚀各占约一半。 图表 46:干法刻蚀市场(百万美元)(20202023 年为预测数据) 资料来源:gartner、国盛证券研究所 图表 47:刻蚀在晶圆设备市场比重提升 资料来源:gartner、国盛证券研究所 P.27请仔细阅读本报告末页声明 2020 年 06 月 30 日 六、薄膜设备:用于沉积物质,在设备市场占比较高 薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包括 金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物 理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。 在半导体领域,薄膜主要分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用 CVD,金属薄 膜常用 PVD(主要是溅射)。 薄膜设备中,CVD 使用越来越广泛。2018 年晶圆设备市场,沉积设备占比为 22%,CVD 占 15%,PVD 占 4%,其他还有 ECD、MOCVD、SOD、外延等。 图表 48:薄膜设备分类 资料来源:gartner、国盛证券研究所 图表 49:CVD、PVD 占晶圆设备比 资料来源:gartner、国盛证券研究所 P.28请仔细阅读本报告末页声明 2020 年 06 月 30 日 CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。(1)微米时代,化学气相沉积多 采用常压化学气相沉积(APCVD)设备,结构简单。(2)亚微米时代,低压化学气相沉 积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆盖填充能力。(3)90nm 以后,等离 子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用下,降低反应温度,提升 薄膜纯度,加强薄膜密度。(4) 45nm 以后,高介电材料(High k)和金属栅(Metal Gate) , 引入原子层沉积(ALD)设备,膜层达到纳米级别。(1)高介电材料(High k)替 代 SiO2,用于制备 MOS 器件的栅介质层,需要引入 ALD。 ( 2)多晶硅同步地被替代为 金属栅(Matal Gate)电极,也用 ALD 设备制备。 图表 50:典型 CVD 工艺流程 资料来源:维基百科、国盛证券研究所 2018 年薄膜沉积设备达到 132亿美元,占晶圆设备约 22%。薄膜沉积中 84%是 CVD; CVD 中 82%是非管式 CVD;Nontube CVD 中最主流的设备是等离子体 CVD、LPCVD、 ALD 等。 Non-Tube 市场前五强 AMAT(28%) 、Lam(275) 、TEL(18%) 、 Hitachi(11%) 、 ASM (6%),都是半导体设备领域较强的综合厂商。高端领域 ALD 受垄断由 ASM(29%) 、 TEL(27%) 、Lam(20%)主导。 图表 51:2018 年沉积设备市场结构(百万美元) 资料来源:gartner、国盛证券研究所 P.29请仔细阅读本报告末页声明 2020 年 06 月 30 日 七、清洗设备:去除晶圆片表面杂质,各制程前后均需使用 清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除,以 获得所需洁净表面的工艺设备。从工艺应用上来说,清洗机目前已广泛应用于集成电路 制造工艺中的成膜前/成膜后清洗、等离子刻蚀后清洗、离子注入后清洗、化学机械抛光 后的清洗和金属沉积后清洗等各个环节。 升级方向:高效且无损。在过去的 25 年中,随着制程升级,晶圆湿法清洗变得越来越 复杂和高效。清洗需要强力有效,还要减少对晶圆表面的损伤。 清洁步骤占半导体工艺所有处理步骤 1/3,最多已经达到 200 次。几乎所有制程的前 后都需要清洗环节。 图表 52:清洗原理 资料来源:盛美公司公告、国盛证券研究所 图表 53:清洗环节 资料来源:盛美公司公告、国盛证券研究所 八、掺杂设备:改变表层电导率/形成 PN 结,实现器件 掺杂工艺(Doping):将杂质原子掺入特定的半导体区域中,形成 PN 结、电阻、欧姆 接触等。基底材料通常是硅,注入的杂质离子包括硼离子、磷离子、砷离子、铟离子、 锗离子等。 扩散(Diffusion):通过高温热处理作用将扩散源(固态源、液态源、气态源等)扩散 到圆片衬底上,也称为热扩散。扩散工艺设备简单、扩散速率快、掺杂浓度高,但扩散 P.30请仔细阅读本报告末页声明 2020 年 06 月 30 日 温度高、控制精度低,离子注入出现逐渐被其替代。 离子注入(Ion Implantation):使具有一定能量的带电粒子(离子)高速轰击硅衬底 并将其注入硅衬底的过程。优点:温度较低、准确控制浓度和深度、重复性好。离子注 入过程会造成晶格损伤,所以需要 RTP。 图表 54:扩散与离子注入 资料来源:维基百科、国盛证券研究所 图表 55:掺杂形成不同器件 资料来源:维基百科、国盛证券研究所 离子注入(掺杂)市场大约 15 亿,应用最广泛的是大束流设备,占整体市场约一半。 全球离子注入市场主要由 AMAT(美,主要产品中/高速流、超高剂量离子注入)、Axceils (美,主要产品高能离子注入)、SEN(日,主要产品中/高速流离子、高能离子注入) 占据。 P.31请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 56:离子注入机市场空间(百万美元)(20202023 年为预测数据) 资料来源:gartner、国盛证券研究所 图表 57:离子注入市场份额 资料来源:gartner、国盛证券研究所 九、氧化形成器件,快速退火修复晶格 氧化(Oxidation):通过热氧化方法在硅片表面形成二氧化硅,常用于 MOS 器件的栅介 质,还可用于器件保护和隔离、表面钝化处理、离子注入掩蔽层、扩散阻挡层、缓冲层 等。 图表 58:SiO2 的用途 资料来源:百度文库、国盛证券研究所 P.32请仔细阅读本报告末页声明 2020 年 06 月 30 日 快速热处理(Rapid Thermal Process):设备对离子注入后的圆片进行快速热退火(RTA) , 将圆片加热至某一温度(4001300)。退火的主要作用是消除晶格缺陷、晶格损伤, 此外也用于除氧、除金属杂质、清楚表面吸附物质、改善表面粗糙程度等。退火工艺是 与其他工艺(离子注入、薄膜沉积、金属硅化物形成)结合一起,最常见的是离子注入。 图表 59:RTA 修复晶格缺陷 资料来源:百度文库、国盛证券研究所 图表 60:氧化/扩散/热处理市场(百万美元) 资料来源:gartner、国盛证券研究所 十、过程控制:制造过程的准确性检测 过程控制:每道制程工艺后,都必须进行尺寸测量、缺陷检测等,用于工艺控制、良率 管理,要求快速、准确。 P.33请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 61:区分过程控制(检测、测量)和 ATE(测试) 资料来源:gartner、国盛电子整理、国盛证券研究所 尺寸测量:测量关键尺寸(CD critical dimension)、膜厚度(thickness)、应力(stress) 、 折射率(refractive index)、阶梯覆盖(step coverage)、接触角度(contact-angle) 无图形缺陷检测:颗粒(particle)、残留物(residue)、刮伤(scratch)、警惕原生凹坑 (COP)等等。 有图形缺陷检测:短线(break) 、 线 边 缺 陷 ( bite) 、 桥 接 ( bridge) 、 线 形 变 化 ( Deformation) 等等。 图表 62:不同环节关键过程控制指标 离子注入 扩散薄膜CMP蚀刻 光学显影 质量检验 薄膜厚度 金属介电层 1 2 3 4 5 6 7 8 9 片电阻 薄膜应力 折射率 掺质浓度 未图案化的表面缺陷 图案化的表面缺陷 临界尺寸 阶梯覆盖 10 重迭对准 11 电容电压 12 接触角度 资料来源:gartner、国盛证券研究所 2019 年全球检测、量测设备市场约 60 亿美元,其中中国大陆市场 13 亿美元。根据 TSMC 测算,随着制程微缩、3D 堆叠,测量、检测设备未来有希望翻倍到 120 亿美元。 KLA 在大多细分领域具有明显优势,此外 AMAT、Nano、ASML、Nova、Hitachi 也有所 布局。 P.34请仔细阅读本报告末页声明 2020 年 06 月 30 日 图表 63:过程控制细分市场(百万美元)(20202021 年为预测数据) 资料来源:gartner、国盛电子整理、国盛证券研究所 图表 64:2018 年过程控制市场格局科磊 WFE 收入拆分 资料来源:gartner、国盛电子整理、国盛证券研究所 图表 65:科磊产品系列 资料来源:公司官网、国盛证券研究所 图表 66:上海精测产品布局 资料来源:公司官网、国盛证券研究所 P.35请仔细阅读本报告末页声明 2020 年 06 月 30 日 十一:测试设备:用于测试晶圆片及成品 半导体测试包括晶圆允收测试(WAT)、晶圆检测(CP)、成品测试(FT) 。WAT 环 节涉及测试机、分选机、探针台;CP 由测试机、探针台搭配完成;FT 涉及测试机、分 选机搭配完成。 晶圆检测(CP)是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用,对晶 圆上的裸芯片进行功能和电参数测试。 成品测试(FT)是指芯片完成封装后,通过分选机和测试机的配合使用,对封装完成后 的芯片进行功能和电参数测试。 图表 67:集成电路生产及测试具体流程图 资料来源:华峰测控招股说明书、国盛证券研究所 图表 68:集成电路测试设备主要功能 测试机分选机探针台 芯片设计 晶圆制造 晶圆样品、封装样品测试 晶圆样品、封装样品测试 晶圆样品、封装样品测试 晶圆片测试-传送、标记 传送、标记、分选、收料 或编带 封装测试封装成品测试- 资料来源:华峰测控招股说明书、国盛证券研究所 测试机行业面临的测试任务日益复杂,测试机的测试能力和配置需求都在提高。随着集 成电路管脚数增多、测试时间增长,包括华峰测控在内的测试机企业越来越多地采用多 工位并测的方案来降低测试时间,推出测试覆盖面更广、资源更多的测试设备,不断提 高测试系统的可靠性和稳定性,以降低客户平均到每颗器件的测试成本。 测试技术要求不断提高。测试产品技术发展趋势主要包括:(1)并行测试数量和测试速 度的要求不断提升;(2)功能模块需求增加;(3)对测试精度的要求提升;(4)要求使 用通用化软件开发平台;(5)对数据分析能力提升 半导体测试设备市场呈现寡头垄断格局。集成电路检测在测试精度、速度、效率和可靠 性等方面要求高。全球先进测试设备制造技术基本掌握在美国、日本等集成电路产业发 P.36请仔细阅读本报告末页声明 2020 年 06 月 30 日 达国家厂商手中,市场格局呈现泰瑞达、爱德万、科休、科利登等四家厂商寡头垄断。 各家厂商在检测设备侧重点也有所区别,如泰瑞达(Teradyne)主要产品为测试机,爱 德万(Advantest)主要产品为测试机和分选机,科利登(Xcerra)主要产品为测试机, 东京电子(Tokyo Electron)主要产品为探针台,北京华峰主要产品为测试机,上海中艺 主要产品为分选机。爱德万和泰瑞达在全球测试设备合计市场份额达到 70%以上。 全球半导体测试设备市场保持稳步增长,其中测试机占比最高。根据 VLSI,全球半导体 后道测试设备市场(含测试机、分选机、探针台)规模约 50 亿美元。检测设备市场空 间大,包括 CP 测试和 FT测试在内的半导体测试设备占半导体设备市场空间 15%20%。 整个测试设备市场中,测试机比重最高,分选机与探针台相对较少。测试机按测试对象 包括模拟、混合、数字、SOC、存储器测试机等市场。 图表 69:全球半导体 ATE 测试设备市场图表 70: 泰瑞达和爱德万半导体设备业务收入(亿美元) 资料来源:SEMI、VLSI、国盛证券研究所资料来源:wind、公司官网、SEMI、国盛证券研究所 随着国内封测厂陆续投入新产线,产能实现扩张,将持续带动国内半导体测试设备市场 高速增长。根据 SEMI,2018 年国内集成电路测试设备市场规模约 57.0 亿元,集成电路 测试机、分选机和探针台分别占比 63.1%、17.4%和 15.2%,其它设备占 4.3%。 图表 71:2018 年中国集成电路测试设备的市场结构 资料来源:SEMI、国盛证券研究所 国内半导体测试设备市场也由海外大厂主导。在测试设备细分领域,目前国内市场仍主 要由美国泰瑞达(Teradyne)、日本爱德万(Advantest)、美国安捷伦(Agilent)、美国 科利登(Xcerra)和美国科休(Cohu)等国际知名企业所占据。这些厂商也会通过设立 P.37请仔细阅读本报告末页声明 2020 年 06 月 30 日 全资或合资子公司,推进大陆半导体测试市场的业务。 十二、投资建议 中芯国际回归 A 股,国产晶圆制造崛起。中芯国际在国内芯片产业链地位中占有举足轻 重的地位。持续关注中国“芯”阵列核心标的,如晶圆代工、封测、IP 授权及设计服务、 设备材料等国产化机会。随着中芯国际即将于科创板,A 股国产半导体家族将再得一名 大将。随着当前国产半导体板块的日渐完善,我们已经看到从 IP 授权及设计服务、设计、 晶圆代工、封测、设备、以及材料多领域的不同程度的国产化出现。 设备厂商国产替代明显加速。全球半导体设备市场约 500600 亿美元,大陆占比持续提 高。中微、北方华创在设备领域持续放量,武汉精鸿检测设备落地、上海精测膜厚设备 突破。根据长存 20H1 的订单,各品类出货量占比程度看,刻蚀(中微 26%、北方华创 9%)、薄膜(北方华创 16%、沈阳拓荆 5%)、清洗(盛美 19%)、热处理(北方华创 35%),国产替代比率已经实现较大提升。 国内晶圆厂投资金额快速增长。中国半导体设备市场 2019 年四个季度投资增速同比 -11%/-11%/-14%/59%。20Q1 预计继续保持高增速。将根据统计,20202022 年国内 晶 圆 厂 总 投 资 金 额 约 1500/1400/1200 亿 元 , 其 中 内 资 晶 圆 厂 投 资 金 额 约 1000/1200/1100 亿元。 20202022 年国内晶圆厂投资额将是历史上最高的三年,且未 来还有新增项目的可能。 全球设备五强占市场主导角色。全球设备格局竞争,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、 LAM、 TEL。另外,光刻机龙头 ASML 市占率 80%+; 过程控制龙头 KLA 市占率 50%。 ASML、 AMAT、 LAM Research、 TEL、 KLA 五大厂商 2019 年半导体设备收入合计 472 亿美元,占全球市场约 78%。 国内国产化逐渐起航,从 0 到 1 的过程基本完成。中微公司介质刻蚀机已经打入 5nm 制程。北方华创硅刻蚀进入 SMIC 28nm 生产线量产。Mattson(屹唐半导体)在去胶设 备市占率全球第二。盛美半导体单片清洗机在海力士、长存、SMIC 等产线量产。沈阳拓 荆 PECVD 打入 SMIC、华力微 28nm 生产线量产,2018 年 ALD 通过客户 14nm 工艺验 证。精测电子、上海睿励在测量领域突破国外垄断。 设备国产化率较低,海外龙头垄断性较高。中芯国际上市,长存、长鑫投建需求放量, 共同带动国内市场快速增长。我国半导体设备市场仍非常依赖进口,国内厂商潜在收入 目标空间较大。 重点推荐:中微公司、北方华创、精测电子、长川科技、华峰测控、至纯科技、万业企 业;建议关注:盛美半导体。 十三、风险提示 1)国产替代进展不及预期:全球国产设备新技术难度较高,验证周期较长,具有一定的 不确定性 P.38请仔细阅读本报告末页声明
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!