(Multisim数电仿真)半加器和全加器

上传人:小** 文档编号:112371933 上传时间:2022-06-22 格式:DOC 页数:14 大小:632.50KB
返回 下载 相关 举报
(Multisim数电仿真)半加器和全加器_第1页
第1页 / 共14页
(Multisim数电仿真)半加器和全加器_第2页
第2页 / 共14页
(Multisim数电仿真)半加器和全加器_第3页
第3页 / 共14页
点击查看更多>>
资源描述
实验3.5半加器和全加器一、实验目的:1. 学会用电子仿真软件Multisim7进行半加器和全加器仿真实验。2学会用逻辑分析仪观察全加器波形:3. 分析二进制数的运算规律。4. 掌握组合电路的分析和设计方法。5. 验证全加器的逻辑功能。二、实验准备:组合电路的分析方法是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或真值表),从而评定该电路的逻辑功能的方法。一般是首先对给定的逻辑电路,按逻辑门的连接方法,逐一写出相应的逻辑表达式,然后写出输出函数表达式,这样写出的逻辑函数表达式可能不是最简的,所以还应该利用逻辑代数的公式或者卡诺图进行简化。再根据逻辑函数表达式写出它的真值表,最后根据真值表分析出函数的逻辑功能。例如:要分析如图3.5.1所示电路的逻辑功能。1.写输出函数Y的逻辑表达式:YXXDXDD3.5.3YXXDXDD3.5.33.5.1WAABABBXWWCWCC3.5.2YXXDXDD3.5.32. 进行化简:W二AABABB=ABAB3.5.4X=WC+WC=ABC+ABC+ABC+ABC3.5Y=XD+XD=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD3.5.63.列真值表:表3.5.1:ABCDY000000001100101001100100101010011000111110001100101010010111110001101111101111104.功能说明:逻辑图是一个检奇电路。输入变量的取值中,有奇数个1则有输出,否则无输出。组合电路的设计目的就是根据实际的逻辑问题,通过写出它的真值表和逻辑函数表达式,最终找到实现这个逻辑电路的器件,将它们组成最简单的逻辑电路。例如:设计半加器逻辑电路。1.进行逻辑抽象:如果不考虑的来自低位的进位将两个1位二进制数相加,称为半加。设A、B是两个加数,S是它们的和,Ci是向高位的进位。则根据二进制数相加的规律,可以写出它们的真值表如表3.5.2所示。表3.5.2:输入输出ABSCi00000110101011012.写出逻辑函数式:C3.5.7,S=AB+AB=ABICi=AB3.选定器件的类型:可选异或门来实现半加和;可选两片与非门(或一片与门)实现向高位的进三、计算机仿真实验内容:1.测试用异或门、与门组成的半加器的逻辑功能:(1).按照图3.5.3所示,从电子仿真软件Multisim7基本界面左侧左列真实元件工具条中调出所需元件:其中,异或门74LS86N从“TTL”库中调出;与门4081BD_5V从“CMOS”库中调出。指示灯从电子仿真软件Multisim7基本界面左侧右列虚拟元件库中调出,X1选红灯;X2选蓝灯。图3.5.3(2).打开仿真开关,根据表3.5.3改变输入数据进行实验,并将结果填入表内。表3.5.3:输入输出ABSCi000110112测试全加器的逻辑功能:(1).从电子仿真软件Multisim7基本界面左侧左列真实元件工具条中“CMOS”库中调出或门4071BD_5V、与门4081BD_5V;从“TTL”库中调出异或门74LS86D,组成仿真电路如图3.5.4所示。图3.5.4(2).打开仿真开关,根据表3.5.4输入情况实验,并将结果填入表内。表3.5.4:输入输出ABCi_1SCi0000010100111001011101113. 用逻辑分析仪观察全加器波形:(1) .先关闭仿真开关,在图3.5.4中删除除集成电路以外的其它元件。(2) .点击电仿真软件Multisim7基本界面右侧虚拟仪器工具条中的“WordGenerator”按钮,如图3.5.5(左图)所示,调出字信号发生器图标(右图)“XWG1”,将它放置在电子平台上。G1图3.5.5(3).再点击虚拟仪器工具条中的“LogicAnalyzer”按钮,如图3.5.6(左图)所示,调出逻辑分析仪图标(右图)“XLA1”,将它放置在电子平台上。图3.5.6(4).连好仿真电路如图3.5.7所示。图3.5.7(5).双击字信号发生器图标“XWG1”,将打开它的放大面板如图3.5.8所示。它是一台能产生32位(路)同步逻辑信号的仪表。按下放大面板的Controls”栏的“Cycle”按钮,表示字信号发生器在设置好的初始值和终止值之间周而复始地输出信号;单选“Display”栏下的“Hex”表示信号以十六进制显示Trigger”栏用于选择触发的方式;“Frequency”栏用于设置信号的频率。图3.5.8(6).按下“Controls”栏的“Set.”按钮,将弹出对话框如图3.5.9所示。单选“DisplayType”栏下的16进制“Hex”,再在设置缓冲区大小“BufferSize”输入“000B”即十六进制的“11”,如图中鼠标手指所示,然后点击对话框右上角“Accept”回到放大面板。图3.5.9(7).点击放大面板右边8位字信号编辑区进行逐行编辑,从上至下在栏中输入十六进制的000000000000000A共11条8位字信号,编辑好的11条8位字信号如图3.5.10所示,最后关闭放大面板。fordGeneralor-STG2i-Controls-DiwplmySet.TriggerInternalFrequencyTrigger000000000000000100000002OOQQOJ03000000040000000500000006000000070000000800000009oooooaoAX(*HexDecBinaryASCIIReady310coocccoxwccocwococcccwcoccw图3.5.10(8).打开仿真开关,双击逻辑分析仪图标“XLA1”,将出现逻辑分析仪放大面板如图3.5.11所示。将面板上“Clock”框下“Clock/Div”栏输入12,再点击面板左下角Reverse”按钮使屏幕变白,稍等扫描片刻,然后关闭仿真开关。将逻辑分析仪面板屏幕下方的滚动条拉到最左边,见图中鼠标手指所示。图3.5.11(9) .拉出屏幕上的读数指针可以观察到一位全加器各输入、输出端波形,例如:图3.5.12中读数指针所在位置表示输入信号A=0、B=1、C=1;S=0、C=1。i1i(注:屏幕左侧标有“9的波形表示A;标有“10”的波形表示B;标有“8”的波形表示C;标有“13”的波形表示S;标有“14”的波形表示C。)i1i(10) .按表3.5.5要求,用读数指针读出4个观察点的状态,并将它们的逻辑状态和逻辑分析波形填入表3.5.5中。图3.5.12表3.5.5:测1波形状态23波形状态4波形占八、变量状态波形状态输A1011B0110入Ci10001i四、实验室操作实验内容:设计两个一位二进制数相加的全加器:1进行逻辑抽象分析:考虑的来自低位的进位将两个1位二进制数相加,称为全加。设A、B是两个加数,C为来之低位的进位,S是它们的和,Ci是向高位的进位。则根据二i1进制数相加的规律,可以写出它们的真值表。2. 写出全加器的S和Ci的逻辑表达表。3. 根据全加器的逻辑表达表画出电路图。3. 根据电路图选取集成电路,并在数字实验台上搭好实验电路。4. 在实验台上进行全加器实验,并填好表3.5.6。1. 完成仿真实验中的表3.5.3表3.5.5的填写。2. 总结设计全加器实验的分析、步骤和体会,写出完整的设计报告六、实验设备及材料:1. 仿真计算机及软件Multisim7。2. THD-1型(或Dais-2B型)数电实验箱。3. MF-10型万用表。4. 电子元件:数字集成电路:74LS86、CD4081、CD4071各一片。
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 办公文档 > 解决方案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!