实验三数码管扫描显示电路

上传人:仙*** 文档编号:72061405 上传时间:2022-04-07 格式:DOC 页数:12 大小:407KB
返回 下载 相关 举报
实验三数码管扫描显示电路_第1页
第1页 / 共12页
实验三数码管扫描显示电路_第2页
第2页 / 共12页
实验三数码管扫描显示电路_第3页
第3页 / 共12页
点击查看更多>>
资源描述
本科学生综合性实验报告学号 114090315 姓名 李开斌 学院 物电学院 专业、班级 11电子 实验课程名称 电子设计自动化(EDA实验) 教师及职称 罗永道 副教授 开课学期 2013 至 2014 学年 下 学期 填报时间 2014 年 5 月 30 日云南师范大学教务处编印实验序号4 实验名称数码管扫描显示电路实验时间2014年5月30实验室同析楼114一实验预习1. 实验目的: 1、了解时序电路设计; 2、制作一个数码管显示的7段译码电路,以备以后调用;2 实验原理、实验流程或装置示意图:在电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机接口电路。通常点亮一个LED所需的电流在520mA之间,电流愈大,LED的亮度也高,相对的使用寿命也愈短。若以10mA导通电流来估算一个接5V的串接电阻值计算应为: (51.6)/10mA0.34K。 七段显示数码管分为共阳、共阴二种极性。它们等效成八个LED相连电路。 共阴极七段显示器的LED位置定义和等效电路 共阴极七段显示码十六进制转换表 动态共阴数码管扫描设计框图静态共阳数码管扫描设计框图3 实验设备及材料 电脑一台,QuartusII 实验平台,EDA实验箱4 实验方法步骤及注意事项动态共阴数码管实验电路连线 : 1、分别将A、B、C、D、E、F、G的各个管脚连接; 2、SS0:为独立扩展下载板上第82脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS0。 脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS1。 3、SS2:为独立扩展下载板上第84脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS2。 4、RESET:为独立扩展下载板上第81脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的 F9F12的任意一个引线插孔 5、CLK:为独立扩展下载板上第80脚即 GCK0脚,应接时钟信号接线组“CLOCK(T)”的“FRQ(11)”引线插孔。 6、通过引脚配置,可得如下图形: 动态共阴数码管的模块: 改变“8位数字开关组(A)”的“SW8SW5”,共有 24=16种状态,即在共阴动态数码管上分别显示十六进制数0F。 静态共阳数码管 改变“8位数字开关组(A)”的“SW8SW5”,共有 24=16种状态,即在DS7C共阳静态数码管上显示对应的十六进制数0F。 二实验内容1 实验现象与结果(1)动态共阴数码管显示模块VHDL语言程序如下:library IEEE;use IEEE.std_logic_1164.all;entity xdeled is port(di:in STD_LOGIC_VECTOR(3 DOWNTO 0); a:out STD_LOGIC; b:out STD_LOGIC; c:out STD_LOGIC; d:out STD_LOGIC; e:out STD_LOGIC; f:out STD_LOGIC; g:out STD_LOGIC );end xdeled ;architecture a of xdeled isbegin -process(di)type data_out is array(0 to 6)of std_logic;variable outp:data_out;begin case di is when0000=outp:=1111110; when0001=outp:=0110000; when0010=outp:=1101101; when0011=outp:=1111001; when0100=outp:=0110011; when0101=outp:=1011011; when0110=outp:=1011111; when0111=outp:=1110000; when1000=outp:=1111111; when1001=outp:=1111011; when1010=outp:=1110111; when1011=outp:=0011111; when1100=outp:=1001110; when1101=outp:=0111101; when1110=outp:=1001111; when1111=outp:=1000111; when others=null;end case; a=outp(0); b=outp(1); c=outp(2); d=outp(3); e=outp(4); f=outp(5); ga,b,c,d,e,f,g; H0 =1,1,1,1,1,1,0; H1 =0,1,1,0,0,0,0; H2 =1,1,0,1,1,0,1; H3 =1,1,1,1,0,0,1; H4 =0,1,1,0,0,1,1; H5 =1,0,1,1,0,1,1; H6 =1,0,1,1,1,1,1; H7 =1,1,1,0,0,0,0; H8 =1,1,1,1,1,1,1; H9 =1,1,1,1,0,1,1; HA =1,1,1,0,1,1,1; HB =0,0,1,1,1,1,1; HC =1,0,0,1,1,1,0; HD =0,1,1,1,1,0,1; HE =1,0,0,1,1,1,1; HF =1,0,0,0,1,1,1; END TABLE;END;输出仿真波形如下: Symbol 2 对实验现象、实验结果的分析及其结论 1、在原理图方式中设计了两个模块,其中一个用于BCD码译码输出,转换成数码管的段码,数据输入端口为D3.0,输出端口AG通过数码管驱动电路分别驱动各段来点亮动态数码管。 2、数码管上显示的值为“8位数字开关组(A)”的“SW8SW5”所输入的8421BCD码值的数值。 3、另外一个模块使用74161计数器进行数码管显示选择设定。74161的输入端有时钟信号CLK和复位信号RESET,输出为数码管段位译码的输入信号SS0、SS1、SS2。 4、通过改变频率可以看到数码管上的数字变化可快可慢;教师评语及评分:签名: 年 月 日11
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!