课程设计(论文):基于VHDL信号发生器的设计

上传人:1777****777 文档编号:36148234 上传时间:2021-10-29 格式:DOC 页数:31 大小:3.15MB
返回 下载 相关 举报
课程设计(论文):基于VHDL信号发生器的设计_第1页
第1页 / 共31页
课程设计(论文):基于VHDL信号发生器的设计_第2页
第2页 / 共31页
课程设计(论文):基于VHDL信号发生器的设计_第3页
第3页 / 共31页
点击查看更多>>
资源描述
1课程设计(论文)题 目 名 称 基于 VHDL 信号发生器的设计 课 程 名 称 电子系统设计 学 生 姓 名 学 号 0741227283 系 、专 业 信息工程系、07 电子信息工程 指 导 教 师 2010 年 11 月 18 日2邵阳学院课程设计(论文)任务书年级专业07 电子信息工程学生姓名 学 号0741227283题目名称基于 VHDL 信号发生器的设计设计时间11.08 至 11.19课程名称电子系统设计课程编号设计地点校内一、课程设计(论文)目的通过查资料、选方案、设计电路、编写程序,调试程序和撰写设计报告等方式使学生得到一次较全面的开发设计训练。理论联系实际,培养和提高学生创新能力,为后续课程的学习、毕业设计、毕业后的工作打下基础。二、已知技术参数和条件具有产生三种基本波形脉冲信号(正弦波、矩形波和三角波),以及三次(及三次以下)谐波与基波的线性组合脉冲波形输出,且单脉冲输出脉宽及连续脉冲输出频率可调,范围从 100HZ 到 1kHZ,步进为 100HZ;幅度可调,从 0 到 5 伏,步进为0.1V。三、任务和要求设计一个基于 VHDL 信号发生器,要求: 1、设计出硬件电路; 2、设计出软件编程方法,并写出源代码;3、用 MAX-PLUS 软件进行仿真;4、论文格式要符合学院的统一规定,结构要合符逻辑,表达要得体。 注:1此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2此表 1 式 3 份,学生、指导教师、教研室各 1 份。3四、参考资料和现有基础条件(包括实验室、主要仪器设备等)1有 EDA 实验室,MAX-PLUS 软件和 EDA 课程设计方面的书籍;2有电子综合试验室,拥有 EDA,DSP,FPGA 等专用开发软件和仿真下载设备;3有学校购买的丰富的电子资源(如中国知网,万方数据库等)。五、进度安排时间任务2010 年 11 月 08 日课程设计动员2010 年 11 月 11 日查阅资料,提出设计方案2010 年 11 月 14 日电路设计、程序设计、系统仿真2010 年 11 月 17 日撰写课程设计论文2010 年 11 月 19 日仿真结果验收、答辩、成绩评定六、教研室审批意见教研室主任(签字): 年 月 日七、主管教学主任意见 主管主任(签字): 年 月 日八、备注4指导教师(签字): 学生(签字):邵阳学院课程设计(论文)评阅表学生姓名 学 号 0741227283 系 信息工程系 专业班级 07 电子信息工程 题目名称 基于 VHDL 信号发生器的设计 课程名称 电子系统设计 一、学生自我总结 学生签名: 年 月 日二、指导教师评定评分项目平时成绩报告格式电路设计仿真创新性综合成绩权 重2020401010单项成绩指导教师评语: 指导教师(签名): 年 月 日注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面;2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。5摘要本次设计课题为应用VHDL语言及MAX+PLUS II软件提供的原理图输入设计功能,结合电子线路的设计加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生三种基本波形脉冲信号(正弦波、矩形波和三角波),以及三次(及三次以下)谐波与基波的线性组合脉冲波形输出,且单脉冲输出脉宽及连续脉冲输出频率可调,范围从100HZ到1kHZ,步进为100HZ;幅度可调,从0到5伏,步进为0.1V。关键词:信号发生器;FPGA;EDA;VHDL语言。6ABSTRACTThis design topic for the schematic diagram input design function which provides using the VHDL language and the MAX+PLUS II software, the bonding electron lines design completes may applies when the number system development either the experiment makes the signal generating device which the input pulse signal or the reference pulse signal use, it has the structure to be compact, the stable property, the design structure is flexible, facilitates carries on the multi-purpose combinations the characteristics, the economy is practical, the cost is inexpensive. Has produces three kind of basic waveform pulse signal (sine wave, rectangular wave and triangular wave), as well as three times (and below three times) overtone and fundamental wave linear combination pulse waveform output, and single pulse output pulse width and continual pulse output frequency adjustable, the scope from 100HZ to 1kHZ, step-by-steps is 100HZ; Scope adjustable, from 0 to 5 volts, step-by-steps is 0.1V. Key words: Signal generating device; FPGA; EDA; VHDL language.目录摘要IABSTRACTII第1 章 前言1第 2 章 设计方案32.1 总体设计思路32.2 方案论证42.3 方案确定5第 3 章 软件设计63.1 波形产生模块63.2 频率控制模块73.3 选择波形模块83.4 分频器模块9第 4 章 硬件电路设计114.1 硬件设计注意事项114.2 滤波电路114.3 幅度控制电路124.4 FPGA 器件引脚分配124.5 硬件电路实现13第 5 章 调试145.1 设计及仿真调试使用设备145.2 调试方法145.3 调试结果15第 6 章 结论20致谢22附录231第第 1 章 前言随着我国的经济日益增长,社会对电子产品的需求量也就越来越大,目前,我国的电子产品市场正在迅速的壮大,市场前景广阔。FPGA(Field Programmable Gate Array,现场可编程门阵列)在现代数字电路设计中发挥着越来越重要的作用。FPGA/CPLD(Complex Programmable Logic Device)所具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性,缩短了产品的上市时间并降低可电子系统的开发成本,且可以毫不夸张地讲,FPGA/CPLD能完成任何数字器件的功能,从简单的74电路到高性能的CPU。它的影响毫不亚于20世纪70年代单片机的发明和使用。现在随着电子技术的发展,产品的技术含量越来越高,使得芯片的复杂程度越来越高,人们对数万门乃至数百万门设计的需求也越来越多,特别是专用集成电路(ASIC)设计技术的日趋进步和完善,推动了数字系统设计的迅速发展。仅靠原理图输入方式已不能满足要求,采用硬件描述语言 VHDL 的设计方式应运而生,解决了传统用电路原理图设计大系统工程时的诸多不便,成为电子电路设计人员的最得力助手。设计工作从行为、功能级开始,并向着设计的高层次发展。这样就出现了第三代EDA系统,其特点是高层次设计的自动化。 第三代EDA系统中除了引入硬件描述语言,还引入了行为综合工具和逻辑综合工具,采用较高的抽象层次进行设计,并按层次式方法进行管理,可大大提高处理复杂设计的能力,缩短设计周期,综合优化工具的采用使芯片的品质如面积、速度和功耗等获得了优化,因而第三代EDA系统迅速得到了推广应用。目前,最通用的硬件描述语言有VHDL和VerilogHDL两种,现在大多设计者都使用93年版标准的VHDL,并且通过了IEEE认定,成为世界范围内通用的数字系统设计标准。VHDL是一种新兴的程序设计语言,使用VHDL进行设计其性能总是比常规使用CPU或者MCU的程序设计语言在性能上要高好几个数量级。这就是说,在传统上使用软件语言的地方,VHDL 语言作为一种新的实现方式会应用得越来越广泛。本课题设计是采用美国Altera公司的FLEX10K10器件,使用的是Altera公司的EDA软件平台Maxplus 2II可编程逻辑器件开发软件。基于EDA工具的FPGA/CPLD的开发流程CPLD/FPGA器件的设计一般可分为设计输入、设计实现和编程三个设计步骤:1.设计输入方式主要由文本输入和图形输入两种,可根据需要选择,也可混合输入。EDA工具会自动检查语法;2.设计实现阶段EDA工具对设计文件进行编译,进行逻辑综合、优化,并针对器件进行映射、布局、布线,产生相应的适配文件;3.编程阶段EDA软件将适配文件配置到相应的CPLDFPGA器件中,使其能够实现预期的功能。信号发生器是数字设备运行工作中必不可少的一部分,没有良好的脉冲信号源,最终就会导致系统不能够正常工作,更不必谈什么实现其它功能了。不论是处于开发还是故障检修阶段,输出标准且性能优秀的信号发生器总是能够带来工作效率的大幅提升,使新产品有一个标准的信号源、损坏的系统得到正确校验,不会被一些故障所蒙蔽。在传统的信号发生器中,大都使用分立元件,而且体积庞大携带不便,且大部分只能输出一种脉冲信号波形。在设计领域,不管采用什么技术生产,生产的产品用在哪里,其产品设计的宗旨都是离不开以下几点:实用性高、成本低、可升级、功能完善可扩展等!使用专用的数字电路设计的信号发生器,设备成本高、使用复杂。基于以上考虑,在中小型数字电路的设计和测试中,迫切需要设计一种小型易用成本低廉的信号发生器。此课题的设计以小型经济,集成度高,性能稳定,使用方便为指导,在功能上力求完善实用,同时兼顾商业价值与应用价值的体现。3第 2 章 设计方案2.1 总体设计思路2.1.1 设计步骤此设计将按模块式实现,据任务书要求,设计总共分四大步份完成:(1)产生波形(三种波形:方波、三角波和矩形波)信号;(2)波形组合;(3)频率控制;(4)幅度控制。2.1.2 设计思想利用VHDL编程,依据基本数字电路模块原理进行整合。系统各部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满足输入脉冲信号的要求。组合波形信号经显示模块输出。具备幅度和频率可调功能,幅度可通过电位器调整,频率控制模块则是一个简易的计数器,控制步径为100HZ的可调频率,最终送至脉冲发生模块输出脉冲信号,达到设计课题所要求的输出波形频率可调及幅度可调功能。幅度可调功能由于比较简单,可以在FPGA外部利用硬件电路实现。总体设计框图如下图1所示:1KHZ图 1 总体设计框图控制电路正弦波、方波、三角波信号产生模块波形组合选择模块幅度控制/电位器频率控制模块/计数器模块滤波电路FPGA输出电路100HZ1KHZ42.2 方案论证2.2.1 方案一采用DDS(直接数字频率合成器)来设计,设计总体框图如图2所示。在设计界里众所周知,DDS器件采用高速数字电路和高速D/A 转换技术,具有频率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程控切换等优点,所以,我们可以利用DDS具有很好的相位控制和幅度控制功能,另外其数据采样功能也是极具精确和完善的,它可以产生较为精确的任何有规则波形信号,可以实现对信号进行全数字式调制。用FPGA和DDS实现信号调制,既克服了传统的方法实现带来的缺点,若采用它来编程设计,必定会事半功倍,且使设计趋于理想状态。但鉴于DDS的占用RAM空间较大,我们设计是采用FPGA10K10器件,总共只有一万门的逻辑门数量,而整个DDS设计下来,大概最少会占用3-4万门的数量,所以在性价比方面不合理,这样也使得我们的设计会有些不切实际。 频率控制字 信号输出 时钟 图 2 DDS 与 FPGA 总体设计图 2.2.2 方案二采用震荡器频率合成方案。具体方案如下:首先通过频率合成技术产生所需要频率的方波,通过积分电路就可以得到同频率的三角波,再经过滤波器就可以得到正弦波。其优点是工作频率可望做得很高,也可以达到很高的频率分辨率;缺点是使用的滤波器要求通带可变,实现很难,高低频率比不可能做得很高。2.2.3 方案三采用VHDL语言来编程,然后下载文件到FPGA来实现。VHDL语言是电子设计领域的主流硬件描述语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大降低了硬件设计任务,提高了设计效率和可靠性,要比模拟电路快得多。该方案是利用FPGA具有的静态可重相位累加器ROMD/A 变换低通滤波5复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,极大地提高了电子系统设计的灵活性和通用性,而且大大缩短了系统的开发周期。2.3 方案确定 由上述三个方案对比,采用第三种方案:通过FPGA软件扫描方式将波形数据读出传输给DAC0832产生波形输出。这种方法在软、硬件电路设计上都简单,且与我们的设计思路紧密结合。由于幅度控制部分在设计需要用到数字电子,这样有要经过 D/A 转换器再输出,必将占用大量资源,造成不必要的开销。鉴于有设计经验的同学和老师的建议,采用一个电位器代替,虽然精确度不够,但是也弥补了性价比方面的不足。波形组合如果采用分开式模块实现,也必将导致占用大量的资源,而且模块设计复杂度提高,只要采用重复调用一个模块的设计方法,既可以降低资源的占用率,也使得设计更加灵活且有针对性。此信号发生器的特点及功能集成度高,因采取整体模块式设计,在此也考虑到实际应用中,万一FPGA的逻辑门数量不够,特准备了一套备用方案。备用方案:将波形数据存放在6116RAM中,6116的存储容量大,且可重复使用,虽用单片机在速度方面远不及 FPGA,但是这样是在出现上述状况后的最佳补偿方式。综合以上涉及方面的分析,因此本次课题采用此方案进行设计。6第 3 章 软件设计3.1 波形产生模块本设计用VHDL语言根据傅立叶函数采集点进行扫描,分别产生正弦波、三角波和矩形波。以下介绍各种常用周期信号的傅立叶函数展开式。3.1.1 正弦波(1)设计思想 正弦波发生分为两个步骤,即正弦波幅值采样存储和正弦波波形的还原输出。幅值采样是将一个周期正弦波进行64等分,如图3所示,将64个采样点进行量化处理,量化值=255*sin360/64(V),将64点量化值存入存储器。正弦波形的产生是通过循环反复将存储器中的64点采样值通过DAC0832进行还原输出,得到幅值正比于64点采样值的正弦波。 f(t) A t 0.5T -A T图图 12-18(c) 矩矩形形波波三三 (2)VHDL实现1正弦信号波形数据文件建立正弦波波形数据由64个点构成,此数据经DAC0832,可在示波器上观察到正弦波形。源程序见附录:3.1.2 矩形波1 设计思路矩形波的实现较之正弦波发生简单,由于矩形波是两个电平值间的交替变换,因此波形采样值的预存只要有两个不同的数值就行了,为了使矩形波发生的频率灵活可调,采用60个采样值扫描输出来实现,每半个矩形波周期采用图 3 正弦波采样图图 4 方波采样图Tf(t)7三十个采样值,循环反复将存储器中的60点采样值通过DAC0832进行还原输出,得到幅值正比于点采样值的矩形波。采样图如图4所示。2 VHDL实现源程序见附录。 3.1.3 三角波1 设计思路由于三角波是线性的,比较简单就可以产生,如果最低电压设为15V,最高是255V,那么根据它的公式255/15=17,每个点的电压只要依次加17就可以得到,一个波形所以采用简单的加减算法就可实现,如图5所示三角波的采样图: f(t) A t T -A 图图 12-19 三三角角波波图 5 三角波采样图 2 VHDL实现具体源程序见附录。3.1.4 基波通过VHDL编程产生的正弦波、方波、三角波三种周期性波形即为基波。3.1.5 谐波的产生采用与基波产生的同样原理,编程产生三种波形的谐波,所不同的是一个波形的周期采样的点数不同,如果基波采样点为63个,谐波采样点数则为21个,其每点的电压值为255*(sin360/60+sin360/20)(V),在对其进行循环扫描即可实现基波与谐波的线性叠加。3.1.6 波形模块图 最终波形的设计模块图如图6所示,L1是三角波,L2是方波,L3是正弦波,L4是谐波。83.2 频率控制模块本课题要求频率控制是在100HZ-1KHZ,步进为100HZ。在本题设计中只需借助FPGA便可完成。根据用户需要的频率,通过编程把频率控制数值传送给各个模块,从而实现频率的控制。如下图7所示:3.3 选择波形模块这一部分主要是通过一个按键对波形进行循环选择,按下确认按钮后进行波形的调用。设计流程图如下图8所示图 6 波形模块图 开始频率控制按下否读入按键数值调用频率控制模块YN键按下否?9确认键按下否?图 7 频率控制模块流程图 图 8 选择波形电路设计流程图3.4 分频器模块3.4.1 设计思路分频器模块将输入的高频脉冲降频得到各模块所需的低频脉冲,分频器模块利用计数器的原理进行设计,通过对预设的分频值进行比较计数,实现输入高频时钟脉冲的分频输出功能。本次课题的设计方案中使用了十组分频器,其设计原理完全相同,将对应的频率用8421BCD码对其进行编程赋值,如100HZ 则对应的二进制数是“0000”,200HZ则是“0001”1KHZ则是“1010”。现选取其中的500分频分频器进行简单说明。具体程序见附录。返回开始返回波形选择控制按下否进行波形的调用调用波形选择程序YNYN103.4.2 VHDL 实现PROCESS(CLK_IN)BEGINIF(CLK_INEVENT AND CLK_IN=0) THENIF Step249 THEN分频值Step=Step+1;ELSEStep=0;循环累加CLK_OUT=NOT CLK_OUT;计数满,输出翻转END IF;END IF;END PROCESS;3.4.3 分频值计算分频值(输出时钟脉冲周期输入时钟脉冲周期)21输入时钟脉冲频率1KHz,周期1ms;输出时钟脉冲频率2Hz,周期500ms分频值(500ms1ms)212493.4.4 分频器模块及初步仿真图分频器模块及初步仿真图如图9所示。图 911第 4 章 硬件电路设计4.1 硬件设计注意事项此次设计利用EDA试验箱实现硬件功能,试验箱设计可靠,干扰小,能使系统在良好的环境中正常工作。但是实际制作硬件电路时,就应考虑到干扰所带来的负面影响。为了避免干扰信号影响系统正常工作,未分配功能的FPGA引脚必须接地,在FPGA器件的电源端必须并连一0.01uF的退耦电容,在所有的输入引脚上串联100欧姆左右电阻减弱干扰信号影响,如有必要还应在输入端设置上拉或下拉电阻。4.2 滤波电路如图10所示,设计D/A输出后,通过滤波电路、输出缓冲电路,使信号平滑且具有负载能力。正弦波的输出频率小于262kHz,为保证262kHz 频带内输出幅度平坦,又要尽可能抑制谐波和高频噪声,综合考虑取 R1=1k,R2=1k,C1=100pF,C=100pF运放选用宽带运放LF351,用 Electronics Workbench 分析表明:截止频率约为1MHz,262KHz 以内幅度平坦。 为保证稳幅输出,选用AD817,这是一种低功耗、高速、宽带运算放大器 , 具有很强的大电流驱动能力。实际电路测量结果表明:当负载100、输出电压峰-峰值1OV时,带宽大于500kHz,幅度变化小于土1%。图 10 滤波电路124.3 幅度控制电路幅度控制电路采用初定方案来设计,即用一个电位器来控制波形的输出幅度。电位器的型号为10K 欧。4.4 FPGA 器件引脚分配为了方便硬件设计,降低硬件设计的复杂度,对FPGA的输入输出引脚进行分配,将关联的引脚集中排布,将同一组内的引脚按顺序排布,将需要输入高频时钟脉冲的端口安排在时钟输入端,以提高其信号接收能力。分配如下: CLKUSR PIN62。CS0 PIN67。CS1 PIN68BEV_CLK PIN76.BEV_OE PIN72 IN PIN31、33、73、75。D0 PIN1TD0 PIN9TD1 PIN4CE0 PIN64CE1 PIN3CONFIG PIN23CONF_DONE PIN65RS PIN70WS PIN69TMS PIN46TRST PIN45TCK PIN66DCLK PIN2STATUS PIN44MSEL0 PIN20MSEL1 PIN21INIT_DONE PIN5813RDY_BUSY PIN59DEV_OE PIN72DEV_CLR PIN774.5 硬件电路实现此次设计硬件部分通过FPGA/CPLD实验箱完成,如今后需制作单独的硬件电路,亦可以依照实验箱各部分结构进行设计。14第 5 章 调试5.1 设计及仿真调试使用设备设计及仿真调试使用设备示波器:Hitachi V-1060,万用表,FPGA实验箱,PC机各一台。 5.2 调试方法根据方案设计的要求,调试过程共分三大部分:硬件调试、软件调试和综合调试。电路用FPGA/CPLD实验箱按模块调试,各模块逐个调试通过后再进行综合调试。5.2.1 硬件调试(1)在做控制电路的调试时,分析输入输出,可以发现时序与仿真结果是否有出入,便于找出硬件电路中的故障。再调试D/A转换是否正常。 (2) 为提高电路抗干扰性能,我们采取了一些抗干扰措施。如接线线尽量短,减少交叉,每个芯片的电源与地之间都接有去扰电容,数字地与模拟地分开。实践证明,这些措施对消除某些引脚上的 “毛刺 ” 及高频噪声起到了很好的效果。 (3) 运算放大器的选择 由于输出频率达到上千赫兹,因此对放大器的带宽有一定要求。所以,在调试滤波电路和缓冲输出电路时,都选择了高速宽带运放。5.2.2 软件调试 本系统的软件功能强大,运用 VHDL 语言来编写,先在MAX+PLUS II对所编的模块一一进行仿真,排除了语法的错误编写和设计逻辑思维的错误,当仿真完确认程序没问题时,再直接下载到FPGA芯片,用FPGA实验箱进行调试。采取的就是自底向上的调试方法,即先单独调试好每一个模块,然后再连接成一个完整的系统再调试。5.2.3 综合调试 FPGA系统的软硬件设计是紧密相连的,将软件编译综合并且通过下载对FPGA进行适配,运行中的FPGA是由其内部的硬件结构控制读出数据,15从而产生波形。因此,如果在软硬件都基本调通的情况下,系统的软硬件综合调试难度不是很大。5.3 调试结果5.3.1 软件仿真结果及分析 1.分频(1)仿真波形图如图11所示: 图 11CLKIN:基准时钟输入;CLKOUT:分频时钟输出;MOVIN:处始置入值;(2)仿真结果分析CLK_IN为输入高频时钟脉冲,CLKOUT为分频输出的低频时钟脉冲。此分频器输入为1KHz,输出为100Hz,为10分频分频器,如图12所示。2.信号波形产生 (1)正弦波 仿真波形图如图12所示: 图 12FRCT:相位控制端;CLK:时钟输入;SINOUT:正弦波输出;VSIN:正弦波地址寄存器;16(2)方波 仿真波形图如图13所示: 图 13CLK:时钟输入;FRCT:相位控制端;SQUOUT:方波输出;(3)三角波 仿真波形图如图14所示: 图 14CLK:时钟输入;FRCT:相位控制端;TRIOUT:三角波输出;(4)任意波形的地址 仿真波形图如图15所示: 图 15FRCT:相位控制端;CLK:时钟输入;ADDOUT:地址输出;(5)波形选择17 仿真波形图如图16所示: 图 16RI:RAM的读数控制端;SELIN:波形选择输入端(0:正弦波;1:三角波;2:方波;3:任意波地址;4:三角波和正弦波的叠加;5:方波和正弦波的叠加;6:方波和三角波的叠加;7:三种波形的叠加)ADDIN:地址输入端;SININ:正弦波输入端;TRIIN:三角波输入端;SQUIN:方波输入端;QADD:地址输出端;Q:波形选择输出端;(6)仿真结果分析 经过仿真,从各个仿真图上看得,基本上可以实现预期的波形产生功能。185.3.2 综合调试结果 1基波的输出波形如图17所示: (1)方波 (2)正弦波 (3)三角波 图 17 基波输出波形图2.谐波与基波叠加的输出波形(1)正弦与其三次谐波的叠加,如图18与图19所示:)3sin31(sin4)(2ttAtf f1(t) 4A/ O t f2(t) A O t 图 18 图 19(2)我们观察到图20D的波形,它也混有二次谐波,但这二次谐波带有一定的相移(图20C)。同样地,当观察到图21B的波形,我们就知道它除了基波以外混有三次谐波(见图21A)。图21D的波形也混有三次谐波,并带有一定相移(图21C)。 (A) (B) (C) (D)图 20 基波与二次谐波叠加19(A) (B) (C) (D)图 21 基波与三次谐波的叠加图3综合调试数据(1)输出波形频率范围测试测试数据如下表1所示 :表 1 输出频率预置频率正弦波方波三角波负载电阻(欧姆)100100.03100.03100.03100500500.06500.06500.06100800800.5800.5800.51001K1 000.21 000.21 000.2100(2) 输出波形幅度范围测试,在频率为100HZ-1KHZ测得的输出幅度数据范围可以达到0-5V的要求。20第 6 章 结论通过此次设计,让我深深的感觉到自己所学知识真是非常的浅薄。面对电子技术日新月异的发展,利用EDA手段进行设计已成为不可阻挡的趋势。相对于传统至底向上的设计方式,自上而下的设计具有其显著的优越性。利用EDA设计软件辅助设计,方便快捷,减少了错误率的产生,缩短了产品的设计及上市周期,既减轻了设计工作量又满足了商业利益的需求。该系统以FPGA10K10器件为核心部件,可利用软件编程实现了对D/A转换信号的处理。努力做到了线路简单、高性价比的特点,充分利用了软件编程,弥补了硬件元器件的不足。在设计过程当中,遇到了软件操作不熟练,程序编写不规范等诸多问题,通过对问题的总结分析得出,应用软件的主要功能必须熟练操作,才能提高工作效率,需要规范操作的地方必须严格按照使用说明操作,避免由于软件使用不当造成的错误产生。程序的编写格式必须规范,模块、端口以及信号变量的命名应当反映实际意义,缩进格式工整明了,方便阅读理解,这样有利于程序的编写,有利于分析调试,也有利于程序的重复使用。此次课题的设计已告一段落,在这次毕业设计过程中需要用一些不曾学过的东西时,就要去有针对性地查找资料,然后加以吸收利用,以提高自己的应用能力,而且还能增长自己见识,补充最新的专业知识,学会了一些编程方面的常用算法。作为一名电子专业的毕业生,我将会继续在新技术的道路上不断钻研、开拓进取。相信通过此次设计的锻炼,我对专业知识和技能的掌握将更加牢靠,在今后的工作和学习中,必将使我受益匪浅,取得应有的优势。21参考文献1潘松,黄继业. EDA 技术实用教程(第二版). 北京科学出版社. 2005.22亿特科技. CPLD/FPGA 应用系统设计与产品开发. 人民邮电出版社. 2005.73李辉. PLD 与数字系统设计. 西安电子科技大学出版社. 2005.54王志鹏,付丽琴. 可编程逻辑器件开发技术 MAX+PLUS II.北京国防工业出版社.2005.35王道先. VHDL 电路设计技术. 北京国防工业出版社. 2004.16 赵不贿. 在系统可编程器件与开发技术. 机械工业出版社. 2001.67 曾繁泰. EDA 工程的理论与实践. 电子工业出版社. 2004.58 尹佳喜,尹 仕. 基于 CPLD 的三相多波形函数发生器设计http:/ 高淼. 基于 FPGA 的自治型 SPWM 波形发生器的设计http:/ 李刚强. FPGA 设计中关键问题的研究 http:/ 22致谢在这里感谢余老师给我耐心的指导,在这次设计期间,碰到许多专业方面的难题,余老师都一一帮我解答,特别在教学繁忙的情况下,还为我们提供了许多宝贵的资料和意见,并帮我们作出了详细的分析,使我们更加顺利地完成此次毕业设计。通过这两周的时间,使我学到许多知识,明白了许多以前上课时无法理解的知识,还积累了一些较简单的问题解决方案。与此同时也感谢同组做课程设计的同学,在设计过程中,有许多东西我不懂,他们都耐心地给我讲解,给予我技术支援,帮助我解决了不少难题。另外由于该方面的设计水平有限,所以存在着许多缺陷和失误,恳请老师批评指正。23附录WIDTH=8; -表示数据输出位宽是8DEPTH=64; -表示共有64个8位数据点ADDRESS_RADIX=HEX; -表示地址信号用十六进制数表示DATA_RADIX=DEC; -表示输出数据是十进制数CONTENT BEGIN 00:255;01:254;02:252;03:249;04:245;05:239;06:233;07:225; 08:217;09:207;0A:197;0B:186;0C:174;0D:162;0E:150;0F:137; 10:124;11:112;12: 99;13: 87;14: 75;15: 64;16: 53;17: 43; 18: 34;19: 26;1A: 19;1B: 13;1C: 8;1D: 4;1E: 1;1F: 0; 20: 0;21: 1;22: 4;23: 8;24: 13;25: 19;26: 26;27: 34; 28: 43;29: 53;2A: 64;2B: 75;2C: 87;2D: 99;2E:112;2F:124; 30:137;31:150;32:162;33:174;34:186;35:197;36:207;37:217; 38:225;39:233;3A:239;3B:245;3C:249;3D:252;3E:254;3F:255; END;/SINDATA.VHD:LIBRARY ieee;USE ieee.std_logic_1164.all;entity sindata isport(address:in std_logic_vector(5 downto 0);inclock:in std_logic;q:out std_logic_vector(7 downto 0);end sindata;architecture syn of sindata issignal sub_wire0 :std_logic_vector(7 downto 0);component 1pm_romgeneric(1pm_width :natural;1pm_widthid :natural;1pm_address_control:string;1pm_outdata:string;1pm_file:string);port(address:in std_logic_vector(5 downto 0);inclock:in std_logic;q:out std_logic_v ector(7 downto 0);24end component;beginq8,lpm_widthad=6,lpm_address_comtrol=registered,lpm_outdata=unregistered,lpm_file=D:/SIN_G/DATA/sin_data.mif)port map(address=address,inclock=inclock,q=sub_wire0);end syn;/正弦波信号发生器顶层设计源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigend.all;entity signt isport(clk:in std_logic;dout:out std_logic_vector(7 downto 0);endarchitecture dacc of singt iscomponent sindataport(address:in std_logic_vector(5 downto 0);inclock:in std_logic;q:out std_logic_vector(7 downto 0);end conponent;signal q1:std_logic_vector(5 downto 0);beginprocess(clk)beginif clkevent and clk=1then q1q1,q=dout;in clock=clk);end;
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸设计 > 任务书类


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!