(精品)全面的升降电梯控制器设计

上传人:沈*** 文档编号:246626004 上传时间:2024-10-15 格式:PPT 页数:37 大小:418KB
返回 下载 相关 举报
(精品)全面的升降电梯控制器设计_第1页
第1页 / 共37页
(精品)全面的升降电梯控制器设计_第2页
第2页 / 共37页
(精品)全面的升降电梯控制器设计_第3页
第3页 / 共37页
点击查看更多>>
资源描述
,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,自动升降设计,设计要求,设计一个,6,层。,该控制器可控制电梯完成,6,层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警;,同时指示电梯运行情况和电梯内外请求信息。,电梯控制方式,1.,内部请求优先控制,2.,单向层层停控制,3.,方向优先控制,1.,内部请求优先控制,内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。作为通用型电梯应该服务于大多数人,必须考虑电梯对内、外请求的响应率,P,:,Pin=100%;,Pout=0100%;,在内部请求优先控制方式中,当电梯外部人的请求和电梯内部人的请求冲突时,外部人的请求信号可能被长时间忽略,因而它不能作为通用型电梯的设计方案。,2.,单向层层停控制方式方案,单向层层停控制方式等同于火车的运行方式,遇站即停止、开门。,这种方案的优点在于,“,面面俱到,”,,可以保证所有人的请求都能得到响应。,然而这样对电梯的效率产生消极影响:不必要的等待消耗了大量时间,而且电梯的运作与用户的请求无关,当无请求时电梯也照常跑空车,就浪费了大量电能。,对用户而言,此种控制方式的请求响应时间也不是很快。,因而这不是理想的方案。,3.,方向优先控制方式方案,方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止;无,则继续前进。,停下后再启动时,,考虑前方,上方、或下方是否有请求:有,则继续前进;无,则停止;,检测后方是否有请求,有请求则转向运行,无请求则维持停止状态。这种运作方式下,电梯对用户的请求响应率为,100%,,且响应的时间较短。,假设:电梯每两层间的运行时间为,T,楼层数为,6,在每层楼的停止时间为,t,如果每层楼都有请求,则这种控制方式的效率和上面的单向每层停等控制方式的效率一样,然而,当不是每层楼都有请求,(,假定为只有第,6,层有请求输入,),时,上面的方式,2(,设为,A,方式,),的响应时间,T=5*(T+t),而方向优先控制方式,(,设为,B,方式,),对同一请求的响应时间,T1=5*T,即效率比,b/a=1+t/T,方向优先控制方式的效率远大于单向层层停等控制方式的效率。而且,方向优先控制方式下,电梯在维持停止状态的时候可以进入省电模式,又能节省大量电能,,本设计选择方向优先控制方式。,系统组成,FPGA,系统时钟,楼层请求,关门中断,分频器,中央处理器,超载,故障清除,信号,存储,电梯升、降、停,门开、关、停,楼层显示,请求信号显示,超载、故障报警,状态存储,提前关门,模块设计,1.,外部数据高速采集模块设计,2.,信号存储模块,3.,基于,FPGA,的中央处理模块,4.,信号的输出、显示模块,1.,外部数据高速采集模块设计,对外部信号采集、处理要求电梯控制器:,(,1,)外部请求信号的实时、准确采集。(,2,)准确、实时的捕捉楼层到达信号。(,3,)有效的防止楼层到达信号、外部请求信号的误判。,控制器采用,FPGA,作为系统控制的核心,系统时钟频率是,32.0000MHz,,完全可以满足实时采集数据的要求。由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。,外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。键盘、光敏外部输入接口电路未设计。,2.,信号存储模块,电梯控制器的请求输入信号有,18,个(电梯外有,6,个上升请求和,6,个下降请求的用户输入断口,电梯内有,6,个请求用户输入断口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。,电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。,3.,基于,FPGA,的中央处理模块,中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。,超载状态时电梯关门动作取消,同时发出警报,直到警报被清除;故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过,3,次)。本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将信号存储器的请求信号和楼层状态信号进行比较,再参考原方向信号来决定是否停止,转向等动作。,(系统状态流程图),4.,信号的输出、显示模块,本系统的输出信号有两种:一种是电机的升降控制信号(两位)和开门,/,关门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。,电机的控制信号一般需要两位,本系统中电机有,3,种工作状态:正转、反转和停转状态。两位控制信号作为一个三路开关的选通信号,此三路开关选用模拟电子开关。,系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征运动方向的箭头形指示灯的开关信号。,完全可以满足人们的需要,而且效率比较高。,本系统具有请求信号显示功能,结合方向显示,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。假如电梯处于向上运动状态,初始位置是底层,初始请求是,6,楼,,2,楼时进入一人,如果他的目的地也是,6,楼,他看到初始请求是,6,楼,就可以不再按键。同时,电梯外部的人也可根据请求信号显示(上升请求、下降请求、无请求),就可以避免没必要的重复请求信号输入。电梯使用时,系统结合相应的电梯使用规范。,电梯控制器程序设计与仿真,电梯控制器电路符号,电梯控制器,VHDL,程序,-,文件名:,dianti.vhd,。,-,功能:,6,层楼的电梯控制系统。,-,最后修改日期:,2004.4.12,。,library ieee;,use ieee.std_logic_1164.all;,use ieee.std_logic_unsigned.all;,use ieee.std_logic_arith.all;,entity dianti is,port(clk:in std_logic;-,时钟信号(频率为,2Hz,),full,deng,quick,clr:in std_logic;-,超载、关门中断、提前关门清除报警信号,c_u1,c_u2,c_u3,c_u4,c_u5:in std_logic;-,电梯外人的上升请求信号,c_d2,c_d3,c_d4,c_d5,c_d6:in std_logic;-,电梯外人的下降请求信号,d1,d2,d3,d4,d5,d6:in std_logic;-,电梯内人的请求信号,g1,g2,g3,g4,g5,g6:in std_logic;-,到达楼层信号,door:out std_logic_vector(1 downto 0);-,电梯门控制信号,led:out std_logic_vector(6 downto 0);-,电梯所在楼层显示,led_c_u:out std_logic_vector(5 downto 0);-,电梯外人上升请求信号显示,led_c_d:out std_logic_vector(5 downto 0);-,电梯外人下降请求信号显示,led_d:out std_logic_vector(5 downto 0);-,电梯内请求信号显示,wahaha:out std_logic;-,故障报警信号,ud,alarm:out std_logic;-,电梯运动方向显示,超载警告信号,up,down:out std_logic);-,电机控制信号和电梯运动,end dianti;,architecture behav of dianti is,signal d11,d22,d33,d44,d55,d66:std_logic;-,电梯内人请求信号寄存信号,signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic;,-,电梯外人上升请求信号寄存信号,signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic;,-,电梯外人下降请求信号寄存信号,signal q:integer range 0 to 1;-,分频信号,signal q1:integer range 0 to 6;-,关门延时计数器,signal q2:integer range 0 to 9;-,故障计数器,signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0);,-,电梯内外请求信号寄存器,signal opendoor:std_logic;-,开门使能信号,signal updown:std_logic;-,电梯运动方向信号寄存器,signal en_up,en_dw:std_logic;-,预备上升、预备下降预操作使能信号,begin,com:process(clk),begin,if clkevent and clk=1 then,if clr=1 then q1=0;q2=0;wahaha=0;-,清除故障报警,elsif full=1 then alarm=1;q1=3 then door=10;,else door=00;,end if;,elsif q=1 then q=0;alarm=0;,if q2=3 then wahaha=1;-,故障报警,else,if opendoor=,1,then door=,“,10,”,;q1=0;q2=0;up=,0,;down=,0,;,-,开门操作,elsif en_up=1 then -,上升预操作,if deng=1 then door=10;q1=0;q2=q2+1;-,关门中断,elsif quick=1 then q1=3;-,提前关门,elsif q1=6 then door=00;updown=1;up=3 then door=01;q1=q1+1;-,电梯进入关门状态,else q1=q1+1;door=00;-,电梯进入等待状态,end if;,elsif en_dw=1 then -,下降预操作,if deng=1 then door=10;q1=0;q2=q2+1;,elsif quick=1 then q1=3;,elsif q1=6 then door=00;updown=0;down=3 then door=01;q1=q1+1;,else q1=q1+1;door=00;,end if;,end if;,if g1=1 then led=1001111;-,电梯到达,1,楼,数码管显示,1,if d11=1 or c_u11=1 then d11=0;,c_u11=0;opendoor000001 then en_up=1;opendoor=0;,-,有上升请求,则电梯进入预备上升状态,elsif dd_cc=000000 then opendoor=,1;,-,无请求时,电梯停在,1,楼待机,end if;,elsif g2=1 then led=0010010;-,电梯到达,2,楼,数码管显示,2,if updown=1 then -,电梯前一运动状态位上升,if d22=1 or c_u22=1 then d22=0;c_u22=0;,opendoor000011 then en_up=1;opendoor=0;,-,有上升请求,则电梯进入预备上升状态,elsif dd_cc0000
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!