数字电路全套课件--第4章组合逻辑电路

上传人:文**** 文档编号:241815094 上传时间:2024-07-26 格式:PPT 页数:83 大小:4.08MB
返回 下载 相关 举报
数字电路全套课件--第4章组合逻辑电路_第1页
第1页 / 共83页
数字电路全套课件--第4章组合逻辑电路_第2页
第2页 / 共83页
数字电路全套课件--第4章组合逻辑电路_第3页
第3页 / 共83页
点击查看更多>>
资源描述
数字电路全套课件数字电路全套课件数字电路全套课件第四章 组合逻辑电路3、按电路类型分类、按电路类型分类 组合组合逻辑电路逻辑电路 输出只与当时的输入有关,如:编码器、加减法器、比输出只与当时的输入有关,如:编码器、加减法器、比较器、数据选择器。较器、数据选择器。时序时序逻辑电路逻辑电路 输出不仅与当时的输入有关,还与电路原来的状态有关。输出不仅与当时的输入有关,还与电路原来的状态有关。如:触发器、计数器、寄存器。如:触发器、计数器、寄存器。1、按半导体的导电类型分类、按半导体的导电类型分类2、按集成度分类、按集成度分类数字电路的分类3、按电路类型分类 组合逻辑电路 输第四章 组合逻辑电路复复习习逻辑运算逻辑运算逻辑门逻辑门 学习常用中规模集成模块学习常用中规模集成模块 了解电路中的竞争和冒险现象了解电路中的竞争和冒险现象本本章章重重点点 掌握组合电路的分析和设计的基本方法掌握组合电路的分析和设计的基本方法编码器编码器译码器译码器分配器分配器选择器选择器加法器加法器比较器比较器与、或、非、与、或、非、异或、同或异或、同或与门、或门、非门、与非门、与门、或门、非门、与非门、或非门、异或门、同或门或非门、异或门、同或门第四章 组合逻辑电路复逻辑运算逻辑门 学习常用中规模集成模第四章 组合逻辑电路第一节第一节 组合电路的分析和设计组合电路的分析和设计第二节第二节 编码器和译码器编码器和译码器第三节第三节 数据选择器和数据分配器数据选择器和数据分配器第四节第四节 算术逻辑运算及数值比较组件算术逻辑运算及数值比较组件第五节第五节 奇偶检验电路奇偶检验电路第六节第六节 模块化设计概述模块化设计概述第七节第七节 组合电路中的竞争与冒险组合电路中的竞争与冒险第一节 组合电路的分析和设计第二节 编码器和译码器第三节 数第四章 组合逻辑电路一、组合电路一、组合电路二、组合电路的分析二、组合电路的分析三、组合电路的设计三、组合电路的设计一、组合电路二、组合电路的分析三、组合电路的设计第一节 组合第四章 组合逻辑电路一、组合电路一、组合电路输入:输入:逻辑关系:逻辑关系:Fi=fi(X1,X2,Xn)i=(1,2,m)特点:特点:不含记忆元件不含记忆元件 输出输出无反馈无反馈到输入的回路到输入的回路 输出与电路输出与电路原来状态无关原来状态无关输出:输出:X1、X2、XnF1、F2、Fm 电路由电路由逻辑门逻辑门构成构成一、组合电路输入:逻辑关系:Fi=fi(X1,X2,第四章 组合逻辑电路例例1:试分析图所示逻辑电路试分析图所示逻辑电路的功能。的功能。因此,该电路为因此,该电路为少数服从多数少数服从多数电路,电路,亦称亦称表决电路表决电路。解:解:写出逻辑表达式写出逻辑表达式 列出真值表列出真值表A B C F0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1真值表真值表 判断:判断:多数输入变量为多数输入变量为1,输出,输出F为为1多数输入变量为多数输入变量为0,输出,输出 F为为0二、组合电路的分析二、组合电路的分析例1:试分析图所示逻辑电路的功能。因此,该电路为少数服从多数第四章 组合逻辑电路例例2 2图图例例2:试分析图所示逻辑电路的功能。试分析图所示逻辑电路的功能。解:解:写出表达式写出表达式例2图例2:试分析图所示逻辑电路的功能。解:写出表达式第四章 组合逻辑电路自然二进制码自然二进制码格雷码格雷码B3B2B1B0 G3G2G1G0 0 0 0 00 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 0 0 1 0 1 0 1 1 0 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 0 0 0 真值表真值表 表达式表达式自然二进制码至格雷码自然二进制码至格雷码的转换电路的转换电路。分析功能分析功能自然二进制码格雷码B3B2B1B0 G3G2G1第四章 组合逻辑电路注意:注意:利用此式时,对码位序号大于(利用此式时,对码位序号大于(n-1)的位应按)的位应按0处理处理(Bn=0),如本例码位的最大序号,如本例码位的最大序号i=3,故,故B4应为应为0,才能得到正,才能得到正确的结果。确的结果。推广到一般推广到一般,将,将n位自然二进制码转换成位自然二进制码转换成n位格雷码位格雷码:Gi=Bi+1 Bi(i=0、1、2、n-1)四位自然二进制码至四位四位自然二进制码至四位格雷码的转换格雷码的转换5位二进制码转换为格雷码位二进制码转换为格雷码注意:利用此式时,对码位序号大于(n-1)的位应按0处理(B第四章 组合逻辑电路组合电路的分析步骤:组合电路的分析步骤:分析已知逻辑电路功能分析已知逻辑电路功能输出函数输出函数表达式表达式简化函数简化函数真值表真值表已知组合电路已知组合电路描述电路描述电路功能功能组合电路的分析步骤:分析已知逻辑电路功能输出函数简化函数真值第四章 组合逻辑电路 逻辑抽象,确定输入、输出变量,列写真值表逻辑抽象,确定输入、输出变量,列写真值表真值表真值表解:解:例例3、某雷达站有某雷达站有3部雷达,其中部雷达,其中A和和B功率消耗功率消耗相同相同,C消耗的消耗的功率是功率是A的两倍的两倍;这些雷达由两台发电机;这些雷达由两台发电机X和和Y供电,发电机供电,发电机X的最大功率的最大功率等于雷达等于雷达A消耗的功率,发电机消耗的功率,发电机Y的最大功率是的最大功率是X的的3倍倍,要求设计一个逻辑电路,能够根据各雷达的启动和停止信,要求设计一个逻辑电路,能够根据各雷达的启动和停止信号,以最节约电能的方式启动、停止发电机运行。号,以最节约电能的方式启动、停止发电机运行。输入变量为输入变量为A、B和和C分别代表分别代表A、B和和C三个雷达三个雷达雷达启动记为雷达启动记为“1”,停止记为,停止记为“0”;输出变量为输出变量为X和和Y分别代表分别代表X和和Y发电机发电机发电机启动记为发电机启动记为“1”,停止记为,停止记为“0”;写出逻辑函数式写出逻辑函数式卡诺图为卡诺图为 逻辑抽象,确定输入、输出变量,列写真值表真值表解:例3、第四章 组合逻辑电路 选定器件:选定器件:与非门与非门 逻辑图逻辑图转换形式:转换形式:选定器件:与非门 逻辑图转换形式:第四章 组合逻辑电路例例4:半加器的设计半加器的设计 列写半加器真值表列写半加器真值表 输出函数输出函数 逻辑图逻辑图 输入输入 输出输出被加数被加数A 加数加数B 和和S 进位进位CO 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 逻辑符号逻辑符号解:解:半加器真值表半加器真值表例4:半加器的设计 列写半加器真值表 输出函数 逻辑图第四章 组合逻辑电路将用将用“异或异或”门实现的半加器改为用门实现的半加器改为用“与非与非”门实现门实现函数表达式变换形式:函数表达式变换形式:用用“与非与非”门实现半加器逻辑门实现半加器逻辑图如图所示:图如图所示:注:注:输入变量不提供输入变量不提供反变量反变量将用“异或”门实现的半加器改为用“与非”门实现函数表达式变换第四章 组合逻辑电路 全加器是实现全加器是实现例例5:全加器的设计全加器的设计学生自己利用学生自己利用非门、与门和或非门非门、与门和或非门完成逻辑电路完成逻辑电路全加器逻辑符号全加器逻辑符号全加器真值表全加器真值表 输入输入 输出输出 Ai Bi Ci Si Ci+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1一位二进制数一位二进制数一位二进制数一位二进制数低位来的进位低位来的进位相加相加和和高位进位高位进位 解:解:全加器是实现例5:全加器的设计学生自己利用非门、与第四章 组合逻辑电路例例6:试将试将8421BCD码转换成余码转换成余3BCD码码 8421码码 余余3码码 B3 B2 B1 B0 E3 E2 E 1 E00 0 0 0 0 0 0 1 11 0 0 0 1 0 1 0 02 0 0 1 0 0 1 0 13 0 0 1 1 0 1 1 04 0 1 0 0 0 1 1 15 0 1 0 1 1 0 0 06 0 1 1 0 1 0 0 17 0 1 1 1 1 0 1 08 1 0 0 0 1 0 1 19 1 0 0 1 1 1 0 010 1 0 1 0 11 1 0 1 1 12 1 1 0 0 13 1 1 0 1 14 1 1 1 0 15 1 1 1 1 卡诺图卡诺图 真值表真值表 E E3 3E E2 2E E1 1E E0 0例6:试将8421BCD码转换成余3BCD码 第四章 组合逻辑电路 表达式表达式E3E2E1E0B3B2B0B2B1B2B1B2B1B0B2B0B1B0B1B0B0 卡诺图卡诺图 表达式E3E2E1E0B3B2B0B2B1B2B1B2第四章 组合逻辑电路余余3码码 电路图电路图8421BCD码码 表达式表达式余3码 电路图8421BCD码 表达式第四章 组合逻辑电路组合电路的设计步骤:组合电路的设计步骤:根据要求设计出实际逻辑电路根据要求设计出实际逻辑电路确定输入、输出确定输入、输出列出真值表列出真值表写出表达式写出表达式并简化并简化画逻辑电路图画逻辑电路图形式变换形式变换根据设计所用根据设计所用器件要求器件要求 设计步骤并不是一成不变的,有些设计直接给出真设计步骤并不是一成不变的,有些设计直接给出真值表,就不用进行逻辑抽象;有些逻辑关系比较简单,值表,就不用进行逻辑抽象;有些逻辑关系比较简单,也可以不经逻辑真值表而直接写出函数式来。也可以不经逻辑真值表而直接写出函数式来。组合电路的设计步骤:根据要求设计出实际逻辑电路确定输入、输出第四章 组合逻辑电路(特定含义:(特定含义:规则、顺序)规则、顺序)某种代码某种代码编编 码码译译 码码编码器编码器译码器译码器二进制代码二进制代码编码器:编码器:在二值电路中,信号以高、低电平的形式给出的,因此,在二值电路中,信号以高、低电平的形式给出的,因此,编码器就是把输入的高、低电平信号编成一个对应的二制进代码。编码器就是把输入的高、低电平信号编成一个对应的二制进代码。译码器:译码器:将输入将输入的的二进二进制制代码译成对应的输出高、低电平信号。代码译成对应的输出高、低电平信号。(特定含义:规则、顺序)某种代码编 码译 码编码器译码器二进第四章 组合逻辑电路 编码输入编码输入 编码输出编码输出 I0 I1 I2 I3 Q1 Q0 1 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 1 142编码器编码器举例说明举例说明 译码输入译码输入 译码输出译码输出 Q1 Q0 I0 I1 I2 I3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 12位二进制译码器位二进制译码器I0I3代表代表4个信息个信息Q0Q1是是2位位二进制码二进制码 编码输入 编码输出42编码器举例说明 译码输入第四章 组合逻辑电路一、编码器一、编码器功能:功能:(一)二进制编码器(一)二进制编码器输入输入m个信息个信息输出输出n位位二进制二进制代码代码 m2nm个输入端,个输入端,n个输出端个输出端 编码器是一个多输入、多输出的组合电路。分为编码器是一个多输入、多输出的组合电路。分为普通编码器普通编码器和和优先编码器优先编码器。将将2n个输入信号编成个输入信号编成n位二进制代码的电路位二进制代码的电路优先编码器:优先编码器:允许几个输入端允许几个输入端同时同时加上信号,电路只对其中加上信号,电路只对其中优优先级别最高的信号进行编码先级别最高的信号进行编码。普通编码器:普通编码器:任何时刻只允许一个输入端有信号输入任何时刻只允许一个输入端有信号输入一、编码器功能:(一)二进制编码器输入m个信息m个输入端,n第四章 组合逻辑电路Rx7Rx7逻辑功能:逻辑功能:任何一个输入端任何一个输入端接低电平时,三个输出端有接低电平时,三个输出端有一组对应的二进制代码输出一组对应的二进制代码输出(一一)二进制编码器二进制编码器1、三位二进制编码器(三位二进制编码器(8线线3线编码器)。线编码器)。任何时刻只允许一个任何时刻只允许一个输入端有信号输入输入端有信号输入简化真值表简化真值表Rx7逻辑功能:任何一个输入端接低电平时,三个输出端有一组对第四章 组合逻辑电路2、8线线3线优先编码器线优先编码器74LS148编码输出编码输出编码输入编码输入使能输入端使能输入端使能输出端使能输出端扩展输出扩展输出2、8线3线优先编码器74LS148编码输出编码输入使能输第四章 组合逻辑电路:输入,低电平有效。优先级别依次为:输入,低电平有效。优先级别依次为:编码输出端:编码输出端:使能输入端;:使能输入端;时,编码,时,编码,时,禁止编码。时,禁止编码。管脚定义:管脚定义:使能输出端,编码状态下:使能输出端,编码状态下若若无无输入信号,输入信号,:扩展输出端,编码状态下:扩展输出端,编码状态下若若有有输入信号,输入信号,8-3优先编码真值表优先编码真值表:输入,低电平有效。优先级别依次为:编码输出端:使能输第四章 组合逻辑电路8线线3线优先编码器线优先编码器74LS148功能分析功能分析8线3线优先编码器74LS148功能分析第四章 组合逻辑电路(二二)编码器的应用编码器的应用 第一片工作时第一片工作时,编码器输出:编码器输出:1000-1111第二片工作时第二片工作时,编码器输出编码器输出:0000-0111 实现优先编码:高位选通输出与低位控制端连接实现优先编码:高位选通输出与低位控制端连接例例7:用用8-3线优先编码器线优先编码器74LS148扩展成扩展成16线线-4线编码器。线编码器。高位高位低位低位解:解:编码器输入编码器输入16线,用两片线,用两片8-3线编码器,高位为第一片,线编码器,高位为第一片,低位为第二片,最高优先位为低位为第二片,最高优先位为(二)编码器的应用 第一片工作时,编码器输出:1000-第四章 组合逻辑电路二、译码器二、译码器(一一)二进制译码器二进制译码器二进制译码器输入输出满足:二进制译码器输入输出满足:m=2n 译码输入译码输入 译码输出译码输出 a1 a0 y0 y1 y2 y3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 12位二进制译码器位二进制译码器如:如:24译码器译码器 38译码器译码器 416译码器译码器 译码输入译码输入 译码输出译码输出 a1 a0 y0 y1 y2 y3 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 02位二进制译码器位二进制译码器译码输入:译码输入:n位位二进制二进制代码代码译码输出译码输出m位:位:一位为一位为1,其余为,其余为0或一位为或一位为0,其余为,其余为1二、译码器(一)二进制译码器二进制译码器输入输出满足:m=第四章 组合逻辑电路译码输入,译码输入,3位二进制代码位二进制代码38译码器译码器八个输出端,低电平有效。八个输出端,低电平有效。译码状态下,相应输出端为译码状态下,相应输出端为禁止译码状态下,输出均为禁止译码状态下,输出均为S1、使能输入端使能输入端,与与逻辑。逻辑。EN=1(EN=0,禁止译码,输出均为,禁止译码,输出均为),译码,译码A0 A2 2译码输入,3位二进制代码38译码器八个输出端,低电平有效。第四章 组合逻辑电路38译码器译码器74LS138功能表功能表38译码器74LS138功能表第四章 组合逻辑电路38译码器逻辑电路图译码器逻辑电路图38译码器逻辑电路图第四章 组合逻辑电路使能端的两个作用:使能端的两个作用:消除译码器输出尖峰干扰消除译码器输出尖峰干扰EN端的正电平的出现在端的正电平的出现在A0-A2稳定之后稳定之后EN端正电平的撤除在端正电平的撤除在A0-A2再次改变之前再次改变之前 逻辑功能扩展逻辑功能扩展避免避免A0-A2在变化过程中引起在变化过程中引起输出端产生瞬时负脉冲输出端产生瞬时负脉冲 使用译码器时常会遇到输入端太少,不能满足使用要求的使用译码器时常会遇到输入端太少,不能满足使用要求的情况,这时可以把几片有使能端的译码器扩展成输入端较多情况,这时可以把几片有使能端的译码器扩展成输入端较多的译码器。的译码器。使能端的两个作用:消除译码器输出尖峰干扰EN端的正电平的第四章 组合逻辑电路例例8:用:用38译码器译码器构成构成416译码器译码器X0-X3:译码输入:译码输入E:译码控制:译码控制E=0,译码,译码E=1,禁止译码,禁止译码X3-X0:0000-0111第一片工作第一片工作X3-X0:1000-1111第二片工作第二片工作000-111000-111 译码输入译码输入0 0 0 0 1 10 0 0 0 0 0000-111000-111 译码输入译码输入1 1 0 0 1 10 0 0 0 1 1例8:用38译码器X0-X3:译码输入E:译码控制X3-X第四章 组合逻辑电路 当当EN=1时,输出端的逻辑函数为:时,输出端的逻辑函数为:输出为三个输出为三个变量的全部变量的全部最小项的非最小项的非利用译码器可以实现逻辑函数利用译码器可以实现逻辑函数 当EN=1时,输出端的逻辑函数为:输出为三个变量的全部第四章 组合逻辑电路例例9:试用试用 74LS138和与非门和与非门构成一位全加器。构成一位全加器。解解:由真值表可得出全加器由真值表可得出全加器的最小项表达式应为的最小项表达式应为(二二)译码器的应用译码器的应用全加器真值表全加器真值表 输入输入 输出输出 Ai Bi Ci Si Ci+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1例9:试用 74LS138和与非门构成一位全加器。解:由真值第四章 组合逻辑电路数字电路全套课件-第4章组合逻辑电路第四章 组合逻辑电路结论结论:n位二进制译码器输出了位二进制译码器输出了n变量的全部最小项,变量的全部最小项,因而用因而用n变量二进制译码器和或门(输出原码)或变量二进制译码器和或门(输出原码)或者与非门(输出反码),定能获得任何形式输入者与非门(输出反码),定能获得任何形式输入变量不大于变量不大于n的组合逻辑函数。的组合逻辑函数。结论:n位二进制译码器输出了n变量的全部最小项,因而第四章 组合逻辑电路(三三)二二-十进制译码器十进制译码器 二二-十进制译码器的输入是十进制数的十进制译码器的输入是十进制数的4位位二进制编码(二进制编码(BCD码),分别用码),分别用A3、A2、A1、A0表示;输出的是与表示;输出的是与10个十进制数字相对应个十进制数字相对应的的10个信号,用个信号,用Y9Y0表示。由于二表示。由于二-十进制十进制译码器有译码器有4根输入线,根输入线,10根输出线,所以又根输出线,所以又称为称为4线线-10线译码器。线译码器。1、8421 BCD码译码器码译码器 把二把二-十进制代码翻译成十进制代码翻译成10个十进制数字信个十进制数字信号的电路,称为二号的电路,称为二-十进制译码器。十进制译码器。(十进制译码器)(十进制译码器)(三)二-十进制译码器 二-十进制译码器的输入是十进第四章 组合逻辑电路2、集成、集成8421BCD码译码器码译码器74LS422、集成8421BCD码译码器74LS4274LS4274L第四章 组合逻辑电路(四四)数字显示译码器数字显示译码器1、七段字符显示器、七段字符显示器共阴极共阴极共阳极共阳极高电平亮高电平亮低电平亮低电平亮 每一段由一个发光二极管组成每一段由一个发光二极管组成(LED)D.P 液晶显示器液晶显示器(LCD)(Liguid Crystal Display)(Light Emitting Diode)(四)数字显示译码器1、七段字符显示器共阴极共阳极高电平亮第四章 组合逻辑电路2、七段显示译码器、七段显示译码器输入:二输入:二十进制代码十进制代码输出:译码结果,可驱动相应的输出:译码结果,可驱动相应的七段数码管显示正确的数字。七段数码管显示正确的数字。BCD七段显示器的真值表七段显示器的真值表2、七段显示译码器输入:二十进制代码输出:译码结果,可驱动第四章 组合逻辑电路七段译码器七段译码器CT7447D、C、B、A:BCD码输入信号码输入信号ag:译码输出,低电平有效:译码输出,低电平有效 熄灭信号输入。低电平时,输出熄灭信号输入。低电平时,输出ag均为高电平均为高电平(全灭全灭);灭零输出信号。灭零输出信号。=0=0时,时,=0=0:试灯信号输入。当:试灯信号输入。当=1(无效)时,(无效)时,=0且且不论不论DA状态如何,状态如何,ag七段全亮。七段全亮。熄灭信号输入熄灭信号输入/灭零输出信号灭零输出信号:灭零输入信号(不显示,其它数码正常显示)。:灭零输入信号(不显示,其它数码正常显示)。=0=0(=)时,不显示数码)时,不显示数码0。七段译码器CT7447D、C、B、A:BCD码输入信号ag第四章 组合逻辑电路驱动电路的连接方法驱动电路的连接方法小数点小数点驱动电路的连接方法小数点第四章 组合逻辑电路根据给定的地址码从多路输入数据中选择一路送到输出的电路。根据给定的地址码从多路输入数据中选择一路送到输出的电路。将一路输入数据根据地址码分配给多路数据输出中的某一路将一路输入数据根据地址码分配给多路数据输出中的某一路数据选择器数据选择器数据分配器数据分配器多输入多输入一输出一输出选择选择一输入一输入多输出多输出分配分配第三节 数据选择器和数据分配器根据给定的地址码从多路输入数据第四章 组合逻辑电路发送端发送端,并,并串串接收端接收端,串,串并并01发送端,并串接收端,串并01第四章 组合逻辑电路一、数据选择器一、数据选择器(一一)分类:二选一、四选一、八选一、十六选一分类:二选一、四选一、八选一、十六选一双双四选一数据选择器四选一数据选择器74LS153使能端使能端输出端输出端数据数据输入输入共用地共用地址输入址输入一、数据选择器(一)分类:二选一、四选一、八选一、十六选一第四章 组合逻辑电路简易符号双双四选一数据选择器四选一数据选择器74LS153简易符号双四选一数据选择器74LS153第四章 组合逻辑电路八选一数据选择器八选一数据选择器74LS151八选一需八选一需三位地址码三位地址码八选一数据选择器74LS151八选一需第四章 组合逻辑电路(二二)数据选择器的应用数据选择器的应用例例10:试用最少数量的:试用最少数量的四选一四选一选择器扩展成选择器扩展成八选一八选一选择器。选择器。用使能端形成高位地址,实现三位地址,控制八个输入。用使能端形成高位地址,实现三位地址,控制八个输入。解:解:用一片双四选一数据选择器,实现八个输入端用一片双四选一数据选择器,实现八个输入端(二)数据选择器的应用例10:试用最少数量的四选一选择器扩第四章 组合逻辑电路 再用第三片双再用第三片双4选选1数据选择器中数据选择器中1个个4选选1的地址输入为的地址输入为16选选1的高两位地址用来控制第一级的四组数据哪组输出。的高两位地址用来控制第一级的四组数据哪组输出。例例11:试用:试用4选选1数据选择器构成数据选择器构成16选选1的选择器。的选择器。第二级,控制选择第二级,控制选择第一级中的一组第一级中的一组第一级,第一级,分为四组分为四组分析:分析:用两片双用两片双4选选1数据选择器的数据输入为数据选择器的数据输入为16个数据输入端个数据输入端 每片双每片双4选选1地址为地址为16选选1低两位地址。低两位地址。再用第三片双4选1数据选择器中1个4选1的地址输入为16第四章 组合逻辑电路例例12:试用:试用4选选1数据选择器实现下列逻辑函数数据选择器实现下列逻辑函数解:解:设双设双4选选1数据选择器的数据选择器的A1=A,A0=B,D0D3为为C的适当状态;比较可得的适当状态;比较可得X中中Z中中器件选择指定用器件选择指定用4选选1数据数据选择器选择器ST=1原变量、反变量、原变量、反变量、0和和1例12:试用4选1数据选择器实现下列逻辑函数解:设双4选1数第四章 组合逻辑电路 结论:具有结论:具有n位地址输入的数据选择器,可以产生任何位地址输入的数据选择器,可以产生任何形式输入变量数不大于形式输入变量数不大于(n+1)的组合逻辑函数。的组合逻辑函数。结论:具有n位地址输入的数据选择器,可以产生任何形式第四章 组合逻辑电路二、数据分配器二、数据分配器(一一)数据分配器的功能数据分配器的功能分配器与选择器的功能相反分配器与选择器的功能相反逻辑功能可由二进制译码逻辑功能可由二进制译码器实现。器实现。一输入一输入多输出多输出逻辑符号二、数据分配器(一)数据分配器的功能分配器与选择器的功能相第四章 组合逻辑电路(二)数据分配器的应用(二)数据分配器的应用例例13:利用数据选择器和分配器实现信息的利用数据选择器和分配器实现信息的“并行并行串行串行并行并行”传送。传送。由译码器连成的数据分配器0 0 00 0 00 01 11 10 0译码译码禁止译码禁止译码0 01 1(二)数据分配器的应用例13:利用数据选择器和分配器实现信息第四章 组合逻辑电路(2)(0)(1)(3)一、加法器一、加法器(一一)加法器的功能与分类加法器的功能与分类功能:功能:实现实现N位二进制数相加运算的电路位二进制数相加运算的电路按实现方法分类按实现方法分类:串行进位加法器、超前进位加法器:串行进位加法器、超前进位加法器 串行进位加法器串行进位加法器如图:用全加器实现如图:用全加器实现4位二进制数相加。位二进制数相加。低位全加器进位输出低位全加器进位输出相邻相邻高位全加器进位输入高位全加器进位输入注意:注意:CI0=0(2)(0)(1)(3)第四节 算术逻辑运算及数值比较组件一第四章 组合逻辑电路 超前进位加法器超前进位加法器进位位直接由加数、被加数和最低位进位位进位位直接由加数、被加数和最低位进位位CI0得到。得到。全加器真值表全加器真值表 输入输入 输出输出 Ai Bi Ci Si Ci+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1定义定义 为进位生成函数为进位生成函数定义定义 为进位传递函数为进位传递函数则:则:(CI)i=(CO)i-1=Gi-1+Pi-1(CI)i-1 超前进位加法器进位位直接由加数、被加数和最低位进位位CI第四章 组合逻辑电路X1Y174LS28374LS283X1Y174LS283第四章 组合逻辑电路(二二)加法器的应用加法器的应用例例14:试用四位加法器实现:试用四位加法器实现8421BCD码至余码至余3BCD码的转换。码的转换。加法器的逻辑符号加法器的逻辑符号N位加法运算、代码转换、减法器、十进制加法位加法运算、代码转换、减法器、十进制加法解:余解:余3码比码比8421码多码多3,因此:,因此:A3-A0:8421码码加数加数被加数被加数和和低位进位低位进位进位进位B3-B0:0011(3)CI0:0(二)加法器的应用例14:试用四位加法器实现8421BCD第四章 组合逻辑电路1A3A2A1A0S3S2S1S0例例15:试用四位二进制加法器试用四位二进制加法器74LS283构成可控的加构成可控的加法、减法器(允许附加少量门)。法、减法器(允许附加少量门)。A-B=A+(-B)A+(-B)补=A补+(-B)补=A补+(-B)反+1按位取反按位取反各位不变各位不变分析:分析:和S借位借位C为进位取反为进位取反C1A3A2A1A0S3S2S1S0例15:试用四位二第四章 组合逻辑电路当当C=1,有借位,有借位A-B 0=A+(-B)补补 补补=(S)反反+1补码再求补补码再求补得原码得原码A 1=A加异或门求反加异或门求反和S加异或门求反加异或门求反C=1,实现加1加异或门不反相加异或门不反相C=0,不实现加1S=A+(-B)补补=A+(-B)原原=A-BS=A+(-B)补补 A+(-B)原原当当C=0,无借位,无借位当C=1,有借位A-B B(b3b2b1b0):输出(:输出(A B)=1二、数值比较器二、数值比较器1、功能:能对两个相同位数的二进制数进行比较并判定、功能:能对两个相同位数的二进制数进行比较并判定 其大小关系的器件。其大小关系的器件。逻辑符号:逻辑符号:A:四位二进制数:四位二进制数A输入(输入(3为高位)为高位)AB、A b、a b、a=b:控制输入端,:控制输入端,高有效高有效 逻辑功能:逻辑功能:(自己完成比较器功能表)(自己完成比较器功能表)B:四位二进制数:四位二进制数B输入(输入(3为高位)为高位)A(a3a2a1a0)B(b3b2b1b0):(A B(b3b2b1b0):第四章 组合逻辑电路2、比较器的应用、比较器的应用例例16:八位二进制数比较器:八位二进制数比较器 例例17:用比较器构成用:用比较器构成用8421BCD码表示的一位十码表示的一位十进制数四舍五入电路。进制数四舍五入电路。解解:A3A0:8421BCD码码解:解:位扩展位扩展,用两片,用两片4位比较器位比较器低位的输出与高位的控制输入连接低位的输出与高位的控制输入连接B3B0:0100(十进制数(十进制数4)A B输出端用于判别输出端用于判别2、比较器的应用例16:八位二进制数比较器 例1第四章 组合逻辑电路2、奇偶检验、奇偶检验1、奇偶检验码、奇偶检验码一、奇偶检验一、奇偶检验信息位信息位:由若干位二进制代码构成:由若干位二进制代码构成奇偶检验位奇偶检验位:一位代码构成一位代码构成 奇检验奇检验:奇偶检验位的取值使得整个码组中的个数为奇数:奇偶检验位的取值使得整个码组中的个数为奇数偶检验偶检验 :奇偶检验位的取值使得整个码组中的个数为偶数奇偶检验位的取值使得整个码组中的个数为偶数FOD奇检验位奇检验位FE偶检验位偶检验位信息位信息位+检验位检验位取值取值0、1利用奇偶检验码检测信息利用奇偶检验码检测信息传输错误的方法传输错误的方法第五节 奇偶检验电路2、奇偶检验1、奇偶检验码一、奇偶检验信第四章 组合逻辑电路发送信息码发送信息码(N位位)接收信息码接收信息码(N位位)+检验位检验位(1位位)检验位检验位(1位位)检验结果检验结果发送信息码(N位)接收信息码(N位)+检验位(1位)检验结果第四章 组合逻辑电路二、奇偶位产生和检验电路二、奇偶位产生和检验电路异或门的功能:奇数个异或门的功能:奇数个1的连续异或运算其结果为的连续异或运算其结果为1;偶数个偶数个1的连续异或运算其结果为的连续异或运算其结果为0。FE=B3B2B1B0S=B3B2B1B0FE发送端偶检验位表达式:发送端偶检验位表达式:接收端偶检验位表达式:接收端偶检验位表达式:S=0,传输无误;,传输无误;S=1传输有误传输有误二、奇偶位产生和检验电路异或门的功能:奇数个1的连续异或运算第四章 组合逻辑电路选择合适的集成电路选择合适的集成电路 1、根据系统的逻辑功能要求、根据系统的逻辑功能要求画出系统结构框图画出系统结构框图,且按功能,且按功能将其划分成若干个子方框将其划分成若干个子方框设计步骤:设计步骤:设计原则:设计原则:提高电路可靠性。提高电路可靠性。降低成本降低成本减少电路所需的模块总数减少电路所需的模块总数 3、根据实际情况,有时需按传统设计方法、根据实际情况,有时需按传统设计方法设计出相关的设计出相关的接口电路和外围辅助电路接口电路和外围辅助电路2、根据各子功能框的要求,、根据各子功能框的要求,选用合适的选用合适的MSI或或LSI第六节 模块化设计概述选择合适的集成电路 1、根据系统第四章 组合逻辑电路例例18:设计一个将:设计一个将8421BCD码转换成余码转换成余3BCD码的码组转换码的码组转换器。器。2、采用与逻辑电路输出端等同数量的数据选择器且、采用与逻辑电路输出端等同数量的数据选择器且附加门(本题需用四个选择器)附加门(本题需用四个选择器)3、采用译码器附加相应数量门(本题需一块、采用译码器附加相应数量门(本题需一块4线线-16线译码线译码器和四个门)器和四个门)5、采用、采用ROM和可编程逻辑器件(后续章节学习)。和可编程逻辑器件(后续章节学习)。经比较,采用第经比较,采用第种方法最经济合理种方法最经济合理1、利用经典的传统设计法,用、利用经典的传统设计法,用SSI实现(见例实现(见例6)4、采用一块四位二进制加法器(见例、采用一块四位二进制加法器(见例14)例18:设计一个将8421BCD码转换成余3BCD码的码组转第四章 组合逻辑电路一、竞争与冒险一、竞争与冒险竞争:竞争:在组合电路中,信号经由不同的途径达到某一会合点在组合电路中,信号经由不同的途径达到某一会合点的时间有先有后的时间有先有后当当A、B两个信号同时两个信号同时发生变化时发生变化时A:10 B:01第七节 组合电路中的竞争与冒险一、竞争与冒险竞争:在组合电路第四章 组合逻辑电路冒险:冒险:由于竞争而引起电路输出发生瞬间错误现象。表现为输由于竞争而引起电路输出发生瞬间错误现象。表现为输出端出现了原设计中没有的窄脉冲,常称其为毛刺。出端出现了原设计中没有的窄脉冲,常称其为毛刺。逻辑冒险:逻辑冒险:在一个输入变量发生变化的条件下,电路在过渡在一个输入变量发生变化的条件下,电路在过渡过程中产生的冒险。过程中产生的冒险。功能冒险:功能冒险:在两个或多个输入变量发生变化的条件下,由于在两个或多个输入变量发生变化的条件下,由于它们的变化的它们的变化的“时差时差”现象,电路在过渡过程中产生的冒险。现象,电路在过渡过程中产生的冒险。冒险:由于竞争而引起电路输出发生瞬间错误现象。表现为输出端出第四章 组合逻辑电路二、竞争与冒险的判断方法二、竞争与冒险的判断方法代数法:代数法:卡诺图法:卡诺图法:如果函数卡诺图上为简化作的圈相切,且相切处又如果函数卡诺图上为简化作的圈相切,且相切处又无其他圈包含,则可能有竞争冒险。无其他圈包含,则可能有竞争冒险。如图所示电路的卡诺图两圈相切,故有险象。如图所示电路的卡诺图两圈相切,故有险象。在一个输入变量变化的条件下,在其它输入变量的某在一个输入变量变化的条件下,在其它输入变量的某种取值下使函数转换为种取值下使函数转换为 ,电路存在竞,电路存在竞争冒险。争冒险。针对逻辑冒险针对逻辑冒险二、竞争与冒险的判断方法代数法:卡诺图法:如果函数卡诺图上为第四章 组合逻辑电路三、竞争与冒险现象的消除三、竞争与冒险现象的消除1、利用冗余项利用冗余项 如图所示卡诺图,只要在两圈相切处增加一个圈(冗余),如图所示卡诺图,只要在两圈相切处增加一个圈(冗余),就能消除冒险。由此得函数表达式为:就能消除冒险。由此得函数表达式为:三、竞争与冒险现象的消除1、利用冗余项 如图所示卡诺图,第四章 组合逻辑电路2、吸收法、吸收法 由于竞争由于竞争-冒险而产生的尖峰脉冲一般很窄冒险而产生的尖峰脉冲一般很窄(几十纳秒以内几十纳秒以内)所以在输出端并接一个很小的滤波电容,就足以把尖峰脉冲所以在输出端并接一个很小的滤波电容,就足以把尖峰脉冲的幅度削弱到门电路的阈值电压以下。的幅度削弱到门电路的阈值电压以下。如图所示。如图所示。优点:简单易行;优点:简单易行;缺点:增加了输缺点:增加了输出电压波形的上出电压波形的上升和下降时间,升和下降时间,使波形变坏。使波形变坏。在在对波形要求较严对波形要求较严格时,应再加整格时,应再加整形电路。形电路。2、吸收法 由于竞争-冒险而产生的尖峰脉冲一般很窄(几第四章 组合逻辑电路3、取样法、取样法 电路稳定后加入取样脉冲,在取样脉冲作用期间输出的信号电路稳定后加入取样脉冲,在取样脉冲作用期间输出的信号才有效,可以避免毛刺影响输出波形。才有效,可以避免毛刺影响输出波形。加取样脉冲原则:加取样脉冲原则:“或或”门及门及“或非或非”门门加负取样脉冲加负取样脉冲“与与”门及门及“与非与非”门加门加正取样脉冲正取样脉冲3、取样法 电路稳定后加入取样脉冲,在取样脉冲作用期间输出第四章 组合逻辑电路利用冗余项:利用冗余项:只能消除逻辑冒险,而不能消除功能冒险;适只能消除逻辑冒险,而不能消除功能冒险;适用范围有限用范围有限三种方法比较:三种方法比较:取样法:取样法:加取样脉冲对逻辑冒险及功能冒险都有效。目前大加取样脉冲对逻辑冒险及功能冒险都有效。目前大多数中规模集成模块都设有使能端,可以将取样信号作用于多数中规模集成模块都设有使能端,可以将取样信号作用于该端,待电路稳定后才使输出有效。该端,待电路稳定后才使输出有效。吸收法:吸收法:加滤波电容使输出信号变坏,引起波形的上升、下加滤波电容使输出信号变坏,引起波形的上升、下降时间变长,不宜在中间级使用。实验调试阶段采用的应急降时间变长,不宜在中间级使用。实验调试阶段采用的应急措施;措施;利用冗余项:只能消除逻辑冒险,而不能消除功能冒险;适用范围有第四章 组合逻辑电路 编码器、译码器、数据选择器、加法器、比较器和码组编码器、译码器、数据选择器、加法器、比较器和码组检验器等。检验器等。本本章章小小结结 任何时刻的输出仅决定于当时的输入,而与电路原来的任何时刻的输出仅决定于当时的输入,而与电路原来的状态无关;它由基本门构成,不含存贮电路和记忆元件,状态无关;它由基本门构成,不含存贮电路和记忆元件,且无反馈线。且无反馈线。根据已经给定的逻辑电路,描述其逻辑功能。根据已经给定的逻辑电路,描述其逻辑功能。根据设计要求构成功能正确、经济、可靠的电路根据设计要求构成功能正确、经济、可靠的电路1、组合电路、组合电路2、组合电路的分析、组合电路的分析3、组合电路的设计、组合电路的设计4、常用的中规模组合逻辑模块、常用的中规模组合逻辑模块 编码器、译码器、数据选择器、加法器、比较器和码组检验第四章 组合逻辑电路作作 业业4.3、4.4;4.8、4.10、4.12、4.15;4.18、4.27、4.32作 业4.3、4.4;第四章 组合逻辑电路真的要退出本章节吗真的要退出本章节吗?是是Y否否N真的要退出本章节吗?是Y否N第四章 组合逻辑电路电路图电路图8421BCD码余3码例例6:试将:试将8421BCD码转换成余码转换成余3BCD码码电路图8421BCD码余3码例6:试将8421BCD码转换成第四章 组合逻辑电路例例14:试用四位加法器实现:试用四位加法器实现8421BCD码至余码至余3BCD码的转换。码的转换。例14:试用四位加法器实现8421BCD码至余3BCD码的转
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!