简易计算器设计实验报告

上传人:陆** 文档编号:172649431 上传时间:2022-12-05 格式:DOCX 页数:3 大小:204.90KB
返回 下载 相关 举报
简易计算器设计实验报告_第1页
第1页 / 共3页
简易计算器设计实验报告_第2页
第2页 / 共3页
简易计算器设计实验报告_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述
简易计算器设计实验报告一 设计任务及要求1.1 实验任务: 根据计算器的原理设计一个具有加减乘除功能的简易计算器。如5+3*4/8=4。1.2 实验基本要求:(1) 实现最大输入两位十进制数字的四则运算(加减乘除)。(2) 能够实现多次连算(无优先级,从左到右计算结果)。 如:12+34*56-78/90+9=36(3) 最大长度以数码管最大个数为限,溢出报警。二 实验设计方案(1) 用QuartuslI的原理图输入来完成系统的顶层设计。(2) 用VHDL编写以及直接拖模块来各功能模块。(3) 通过2个脉冲分别实现个位数和十位数的输入。(4) 通过选择每次的输出数值,将输出值反馈到运算输入端(4) 通过除法运算实现十六进制到十进制的转换输出。 其具体实现流程图如下:三 系统硬件设计FPGA:EP2C5T144C8 目标板及相应外围硬件电路。(从略)四 系统软件设计1. 数据输入模块原理:用 VHDL 创建模块,通过两个脉冲分别对两个数码管进行输入控制,再通过相应 运算模块将两个独立数据转化成两位十进制数字。2. 运算模块原理:用 VHDL 创建模块,四种运算同步运行,通过按键加、减、乘、除选择输出对应 的计算结果,当按键等号来时,将所得结果反馈给运算模块输入端。具体实现代码见附录二。3. 输出模块原理:用 VHDL 创建模块,通过按键等号来控制显示运算对象还是运算结果,当等号按 下时,输出计算结果,否则显示当前输入的数据,并且通过除法模块将十六进制转化为十进 制。当输出结果溢出是LEDO亮,同时数码管显示都为零。部分实现见附录二。五 实验调试输入数据12,再按加法键,输入第二个数字25,按等号键,数码管显示37;按灭加法、 等号键,输入第二个数据2,依次按等号键,减法键,数码管显示35;同上,按灭减法键、 等号键,输入第三个数据7,依次按等号键,除法键,数码管显示5;按灭除法键、等号键, 输入第四个数据 99,依次按等号键,乘法键,数码管显示495,按灭乘法键、等号键,当前 显示为99,依次按等号键、乘法键,数码管显示49005,同上进行若干次之后,结果溢出, LED0亮,同时数码管显示都为零。当输出为负数时,LED0灯变亮,同时数码管显示都为零。六 实验结论本实验基本实现了计算器的加减乘法运算功能,但是存在一个突出的缺陷,就是当输出 结果时,必须先按等号键导通数据反馈,再按运算键选择输出结果。这与实际应用的计算器 存在很大的差距。但是,本设计可以通过等号键实现运算对象和运算结果之间的切换。附录附录二1.数据输入模块 计数器(count): LIBRARY IEEE;USE IEEE.STD_L0GIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY count ISPORT(cp1:in std_logic;q1:out unsigned dow nto 0) );end count;architecture rtl of count is signal iq1:unsigned(3 downto 0); beginprocess(cp1,iq1)begin if(cp1even t and cp1=1) t hen iq1=iq1+1;if(iq1=9)then iq1=0000; end if;end if;q1=iq1;end process;end rtl;
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸设计 > 毕设全套


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!