EDA流水灯课程设计

上传人:gb****c 文档编号:159487780 上传时间:2022-10-09 格式:DOC 页数:10 大小:69.50KB
返回 下载 相关 举报
EDA流水灯课程设计_第1页
第1页 / 共10页
EDA流水灯课程设计_第2页
第2页 / 共10页
EDA流水灯课程设计_第3页
第3页 / 共10页
点击查看更多>>
资源描述
黄冈师院物电学院E技术课程设计报告 项目名称: 多模式流水灯设计 专业年级: 电子信息工程200级 学 号: 学生姓名: 指导教师: 冯 杰 报告完成日期 202年 12 月 3 日VII / 10 评阅结果 评阅教师 第一章 绪论1。 系统背景。.、EA技术DA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计.DA技术就是以计算机为工具,设计者在ED软件平台上,用硬件描述语言VH完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。1.2、实验内容设计可以多模式控制的流水灯,并用Ma+Plus0。进行编译和仿真,可以在实验箱上实现自己的需求功能。1。.3、设计要求可以进行不同频率选择及不同模式选择进行组合,必须有手动选择组合,至少4种流水灯方式第二章 系统电路设计2.1 系统总体设计框架结构 设计原理 : 由分频器实现2、4、16的分频,设计一个十六进制计数器,由低位控制四选一电路,高位控制模式选择电路。模式选择则由状态机来实现四种状态之间的转换.2系统单元电路设计2.。分频器设计程序如下:BRARYIEEE;U IEE。S_LOGI_114.ALL;USE IE。td_OGI_ARITH.ALL;USEEEE.TD_LOGI_USIGDA;ENTIT CLKI ISPRT(CLK :IN STD_LOGC; CKDI :USTD_OIC; CLK_DV4 :UT STD_LOIC; K_DV8:UT STD_LOGIC; CLKD16 :T STD_OIC);ND CIV;RCHITECTURE TL OF CLK_DIV IS GNA COUNT:SD_OIC_VETR(3 DONTO0);BEGINPRS(CLK)EGIN IF(LKV AD CLK=1) THN I(OUNT=”111”) TEN COUT=(OTHRS0); ELE ONT=COUNT+1; END IF; ND IF;ED PROCESS;KDI2=OUT(0);LK_DIV4=CUT();LK_DI=OUT(2);LK_DIV16=COUT();END RTL;仿真分析:本程序用H语言,对时钟信号进行分频、分频、8分频、16分频.由仿真波形图看出:LDIV2、CLKV4、CLKV8、CLK_DIV16的时钟周期分别是LK的倍,4倍,8倍,6倍。所以该程序实现了分频、分频、分频、16分频,及达到了设计目的.仿真波形:. 。2四选一电路程序如下:LIBRAR IEEE;E IEE.STD_LGIC114ALL;entyMUX4_1 S PRT (a,,d: intdlic; 1,s:in stdogic; y : uSD_LOGI);END ENTITMX41;ARCCTE on F MUX41 ISBENy = a whn s = 0 and s=0ese wn 1 = and s1 elec whn s1 =1 and 2=0 el d wen 1 = 1 an s=1 ls 0;end ARCHITECUREe;仿真波形:仿真结果分析:由仿真图可以看出,当1=0,s2=0时,输出Y;当1,2时,输出y;当1=,s=0时,输出=c;当1,s=1时,输出Y;所以,该程序实现了四选一的目的,达到了设计要求。2。.十六进制计数器程序如下:LBARY ee;UE i。td_ogc_164.all;UEiesd_logic_usignd。ll;ENITY ISORT( CLRN,CLK: IN STD_LGIC; A:OUT S_LGIC_veto(1 down ); :O SLGIC_vcor( dono 0);ED CNT_1;ARCHITECRE OF 16 SEGI PRCES(CLK,CLR) VRAL tmpha:s_logc_vctor( dwt 0);BEGIN IR1THE tphA:= 0000; ELSI CLeventANC=1THEN tmph15 he mp:=tmpha+1; else tmphA :=”000”; EDF; end if; CASE tmp S WHN 0000”=00;=0; WHN 000=B=”0”;B=”01”;A=00; WEN ”0101”B=”01;A”01; WHEN ”00”=B=”01”;AB=”01”;A=”; EN ”1000”=B=10;A=”00; HEN 01”=B=”1;AB=”1”;A=”1; WHE ”1100”=B11”;B”;A=0;=00001; NEXT_ATES1;WHEN 1=001;NE_TTE=S2;WHN 2Y=”00111; NE_STATS;EN S3=1111;NEX_STAE=S4;WHEN S4=Y”1111”;XT_STATEY=111; NXSTTES;WHEN S6=Y=”1111”; NEX_STATE=”111 ;NET_STATE=11;H S1=Y”11” ;NT_STT=S1;HN S12=Y=111 ;NEXT_SATE=”111;NETSTT=S1;WEN 4=1111” ;NEXT_TAT=S15;EN 1=Y”1111111111111 ;EXT_STTE=S0;END CSE;elsfa=0 a 2=1 HEN CSE curren_stt IHE S011; NT_STEY=11; NEXT_TATE=S4;WE 4Y11111;NEXT_STES;H S=Y111;NET_STE=6;H S6=Y=”1111”;NETSTATEY=”111;NET_STATE=S;WEN S8=Y=111 ;NEXT_STAT=”1111;NEXTSTEY=1111;EXSTEY011;NETTE=S13;WHEN S3=Y=”001;NXT_STA=S1;EN 14=Y=000” ;NET_TE=S0;END CASE;lsif1=1ana2=0 TEN CASEcurt_sate SNS0=Y”0001; NEXTSTT=S;WHE 1Y=11011 ;NEXT_SATE=2;WEN S=11111;NEXT_STTEY=111”; NEX_STS4;WHEN S4=Y11111; NXTSTATE=S5;WHEN S5=Y=11111000011111; NX_TATE=S6;WHN S6=Y=”11111111111;NEXT_TE=S8;NS8=Y=111111111111 ;NEXT_TE=S9;WHN S9Y=1111100011” ;NEXT_STATE=S1;EN S=”1111 ;EXSTATE=11;WHE 11=Y=”111 ;NEXT_SATEY=11111;NEXT_TATE=S13;WEN S3=Y=11011”;NEX_STATE=S;WHEN S4=Y=101 ;NEXT_SATE=S15;WHN S15=YY=”000; NEXT_TATS;WE S1=Y000 ;NET_STTE=S2;WN S2Y=”000;NEXTSTATE3;HENS3=Y=”000”;EXTSAT=S4;WEN S4=Y=1100; XT_STT=S;WHEN 5Y=11100; EXSAE6;WHEN S6=Y=110; NEX_STAEY=100 ;NXT_STAE=S11;WHEN 1”10000” ;NEXT_STATE=S1;WHEN S2=Y000 ;NEXT_STAE=S13;WHN 13=000;EX_ST=S4;HEN S14=YY=”0000 ;NEX_STE=S;D ASE; f;end POCS;END BEHV;设计分析:该程序是根据状态机编写的四个模式的选择程序,由十六进制计数器的高位控制该程序中的a1、a.当a,a0时,选择效果一;当a=,a2时,选择效果二;当=1,a2=时,选择效果三;当1,a21时,选择效果四;. 3系统设计电路将。中的四段程序封装后按设计原理框图连接起来,得到如下原理图:第三章 实验结果和分析3.1 实验使用的仪器设备 安装有aplu10.的计算机一台仿真实验箱一台3 测试结果分析 为验证所设计程序是否正确,将程序下载进行硬件测试。在MA+PLUS开发环境中进行管脚锁定,连接好下载线,然后将目标文件下载到器件中。经过测试,流水灯样式一共有4种,如模式选择程序描述的一样,且每一种效果有四种速度可调。通过按键调节显示样式及调节流水灯变化快慢。结束语经过一周的努力终于完成流水灯的设计,流水灯是由四个部分组成,其中包括分频器、四选一电路、十六进制计数器、状态选择器。在该次课程设计过程中,也遇到了许多问题,但通过查阅资料,上网查阅,问题都得到了解决.列如:在一个实体中,如果有两个或两个以上的进程,那么在各自的进程中,不能对同一个信号进行赋值;信号和变量的区别在于,信号在进程之外进行定义,而变量只能在某个进程中进行定义,在多进程执行的时候,外部进程不能使用本进程的变量。还有一个最为重要的问题就是,需要考虑资源量,一个芯片中可以下载进去的程序大小是有限的,在程序设计的时候尽量少申请变量和信号,对于信号和变量,位数能少则少,这样生成的模块资源才不会占用很大。通过这次EDA试验设计,我感觉自己设计的流水灯功能虽然很简单,但从中我获得了许多。至少说又学会了一门VD语言,学会了MAX-PUSII的使用,包括模块设计,波形仿真以及原理图的设计。我越来越相信只有通过自己动手实践,才能发现具体问题,才能够对问题有深刻的认识,最后才能从根本上解决问题。文中如有不足,请您指教!
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 大学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!