基于FPGA的多功能函数信号发生器.ppt

上传人:za****8 文档编号:15872676 上传时间:2020-09-11 格式:PPT 页数:30 大小:216.55KB
返回 下载 相关 举报
基于FPGA的多功能函数信号发生器.ppt_第1页
第1页 / 共30页
基于FPGA的多功能函数信号发生器.ppt_第2页
第2页 / 共30页
基于FPGA的多功能函数信号发生器.ppt_第3页
第3页 / 共30页
点击查看更多>>
资源描述
基于FPGA的任意波形信号发生器,海飞 陈海巍 陶志颖,波形发生器,波形发生器在生产实践和科技领域中有着广泛的应用。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。,现在波形发生器的实现方法通常有以下几种: (1)用分立元件组成的波形发生器:通常是单一波形发生器且频率不高,其工作不很稳定,不易调试。 (2)可以由晶体管、运放IC等通用器件制作,更多的则是用专门的波形发生器IC产生。早期的波形发生器IC,如L8038、BA205、R2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。 (3)利用单片集成芯片的波形发生器:能产生多种波形,达到较高的频率,且易于调试。鉴于此,美国马克西姆公司开发了新一代波形发生器,它克服了(2)中芯片的缺点,可以达到更高的技术指标,是上述芯片望尘莫及的。此波形发生器频率高、精度好,因此它被称为高频精密波形发生器IC。在锁相环、压控振荡器、频率合成器、脉宽调制器等电路的设计上都是优选的器件。,FPGA,FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。,本设计特色:DDS技术,DDS的技术及其原理,DDS的核心是建立在采样定理的基础上,首先要对需要产生的波形进行采样,将采样值数字化后存入存储器作为数据表,然后再通过查表将数据读出,经过D/A转换器转换成模拟量,把存入的数据重新合成出来。它是一种全数字化的频率合成技术,由相位累加器、加法器、波形存储器ROM、D/A转换器以及低通滤波器(LPF)构成。,DDS的工作原理是: 相位累加器根据参考时钟fc以频率控制字K进行累加 将所得N位的二进制代码,加上相位控制字P和波形控制字W,取其高L位作为ROM的地址 将地址的相应数据信号通过D位的D/A转换器进行数模转换 再将模拟信号通过低通滤波器平滑之后即是所合成的波形信号。,每周期的最高采样点数:M=fc/fo; 波形数据存储器的点数最长为:2L; 累加器最小相位增量:=2/2N; 输出信号频率:fo=Kfc/2N; 最小频率步进值: f=fc/2N;,设输出信号的频率为fo,N位累加器的高L位(L=N)作为存储器的地址位,则有以下相关参数关系式:,可见,时钟频率fc给定后: 输出信号的频率取决于频率控制字K 频率分辨率取决于累加器位数N 相位分辨率取决于波形存储器的地址线位数L 幅度量化噪声取决于波形存储器的数据位字长D和D/A转换器的位数,这样合成信号的3个参量(频率、相位和幅度)便均可由数字信号精确控制,从而达到了全数字化合成的目的!,DDS的优点,DDS具有众多突出优点:极高的频率稳定度,极高的频率分辨率,超高速的频率转换时间,变频相位连续,相位噪声低,全数字自动化控制,可以合成任何波形,集成度高,容易实现小型化。,设计方案,该方案采用FPGA作为中心控制逻辑,由于其具有高速和逻辑单元数多的特点,因此可以由FPGA、DAC和I/V运放直接构成信号源发生器的最小系统。在该方案中通过FPGA控制DAC并直接向DAC发送数据,这样就提高了所需波形的频率并绕过了通用存储器读取速度慢的特点,再加上外部的开关按钮就能够简单控制波形切换与频率选择。当然,为了增加人机界面的交互性与系统功能,可以在原有的基础上添加一个标准键盘和LED或LCD,这样就能够通过编程实现波形的任意性、幅度变化的灵活性。,设计方案框图,函数发生器的硬件设计,波形发生器制作过程中用到的硬件有: 12V的电源、ALTERA公司生产的FPGA芯片,和以这个目标芯片为核心的核心板,核心板上有稳压管及其供电系统、50MHZ的晶振。此外所有IO配置管脚通过插针引出,下载设计到目标芯片时用到的并口下载数据线;还用到选择波形、频率调节以及相位调节的按钮。由于这些波形产生都是在FPGA芯片中产生,产生的都是数字信号,在时钟的控制下控制程序对波形数据存储器进行寻址,由存储器输出8位波形数据, 这样周而复始地工作。而FPGA只是数字信号处理器,在模拟信号转换它是显得很无助的。所以在它的输出端接上一个数模转换器,把数字信号转换成模拟信号输出。所以它由两部分组成:数据产生,数据的转换。,1、FPGA芯片(数据产生) 在本次设计方案中,FPGA芯片采用ALTERA公司生产的EPF10K10TC144-4型芯片。 ALTERA 公司作为全球最大的可编程逻辑器件供应商,可提供MAX7000S(E)、MAX7000A(AE)、MAX7000B、FLEX6000A、FLEX 10KA、FLEX 10KE 等系列产品。这些产品可用于组合逻辑、时序、算法、双端口RAM、FIFO的设计。在加上ALTERA 公司的MAX+pulsII 集成开发软件,集设计输入、处理、校验和器件编程于一体,集成度高,使用方便,大大缩短产品的开发周期。 MAX EPF10K10TC144-4 是一种复杂可编程逻辑器件,是144pinPLCC 封装,另外还有其它类型的管脚和封装,选择性强,该IC 具有以下主要性能: (1)、嵌入式可编程逻辑器件,提供了集成系统于单个可编程逻辑器件中的性能; (2)、高密度:提供10000250000 个可用门,614440960 位内部RAM;,(3)、低功耗:多数器件在静态模式下电流小于0.5mA,在2.5V、3.3V 或5.0v 下工作; (4)、高速度:时钟锁定和时钟自举选项分别用于减少时钟延时/过冲和时钟倍频;器件内建立树形分布的低失真时钟;具有快速建立时间和时钟到输出延时的外部寄存器; (5)、灵活的互连方式:快速、互连延时可预测的快速通道(Fast Track)连续式布线结构;实现快速加法、计数、比较等算术逻辑功能的专用进位链;实现高速、多输入(扇入)逻辑功能的专用级联链;实现内部三态的三态模拟;多达六个全局的时钟信号和四个全局清除信号; (6)、支持多电压I/O 接口; (7)、强大的引脚功能:每个引脚都有一个独立的三态输出使能控制及漏极开路配置选项及可编程输出压摆率控制;FLEX10KA、10LE、10KS 器件都支持热插拔; (8)、多种配置方式:内置JTAG 边界扫描测试电路,可通过外部EPROM、智能控制或JTAG 接口实现在电路重构(ICR); ( 9 )、多种封装形式:引脚范围为84600,封装形式有TQFP、PQFP、BGA 和PLCC 等,同一封装的FLEX 10K 系列器件的引脚相兼容。,2、数模转换芯片DAC0832(数据的转换) D/A转换器的类型很多,从输入电路来说,一般的D/A转换器都带有输入寄存器,与微机能直接对接。在此方案中采用分辨率为8位的DAC0832集成电路芯片。它由NSC公司(National Semiconductor Corporation)所生产,采用低功耗的CMOS工艺制成。它具有连接简单,转换控制方便、价格低廉等特点,在各微机系统中得到广泛的应用。,DAC0832的结构框图,DAC0832是具有20个引脚的双列直插式芯片。其内部实际上是一个T型电阻网络,在外部运算放大器配合下完成D/A转换工作。DAC0832的内部包括两个8位寄存器、1个8位转换器和相应辅助电路。 IOUT1和IOUT2为一组互补的模拟电流输出端。Rfb为片内反馈电阻,与运放配合构成I/V转换电路。Vcc为工作电压输入端,一般为+5V到+15V。VREF为参考电压输入端。DAC0832内部有两个寄存器,能实现双缓冲、单缓冲和直通三种工作方式。我们用DAC0832的直通工作方式,这时将两个寄存器的有关控制信号预设置成有效,使之开通。并通过对FPGA进行编程来控制DAC0832是否工作。,3、幅度控制 VREF为DAC0832的参考电压输入端。这里我们采用另外一片DAC0832,其八位数据输入端接八个幅度控制开关,其输出接到用于数据转换的0832上,它的VREF端接标准的+5V电压。这样我们就可以通过控制八位开关来控制最后输出的模拟波形的峰峰值。,4、I/V转换电路 DAC0832C 以电流形式输出转换结果,若要得到电压形式的输出结果需另加I/V转换电路,这时可采用运算放大器。这里我们用AD公司的OP07进行I/V转换。OP07具有较宽的电源电压范围(3V22V),非常低的输入噪声电压幅度及长期稳定等特点。图四为数据转换电路原理图。于是我们得到的单极性输出电压为: Vo=-(DVref/256) 式中D为输入数字量的十进制值。因为转换结果Iout2接运算放大器的反相端,所以式中有一个负号。若Vref=+5V,当D=0-255(00HFFH)时,Vo=-(04.98)V。调整运算放大器的调零电位器。可以对D/A芯片进行零点补偿。,数据转换及模拟输出电路图,函数发生器的软件设计,1、波形数据的建立 FPGA输出的数字信号需要经DA转换器转换成各种波形输出。而由DA转换器可知,DAC0832的分辨率是8位,这样,将模拟信号的各种波行在一个周期内平均分成255份,由于已经确定每周期的取样点数为64,即每隔2/64的间隔取值一次,所取的值为该点对应的波形的值,通过计算可以获得64个取样点的值;也可以通过查表的方法取得64个取样点的值。,WIDTH=8;-数据输出位宽为8 DEPTH=320;-五种波形共320个数据 ADDRESS_RADIX=HEX; DATA_RADIX=DEC; CONTENT BEGIN 000:255;001:254;002:252;003:249; 004:245;005:239;006:233;007:225; ,2、频率控制程序(相位累加器) LIBRARY IEEE;-频率控制器 USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fcontrol IS PORT ( clk:in std_logic; f:in std_logic_vector(23 downto 0); q:out std_logic_vector(23 downto 0); END ENTITY fcontrol; ARCHITECTURE behave OF fcontrol IS signal qf: std_logic_vector(23 downto 0); signal q1: std_logic_vector(23 downto 0);,BEGIN PROCESS(clk) BEGIN if clkevent and clk=1 then qf=f; q1=qf+q1; end if; q=q1; END PROCESS; END ARCHITECTURE behave;,3、相位控制程序 LIBRARY IEEE;-相位控制器 USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY pcontrol IS PORT ( x:in std_logic_vector(23 downto 0); qf:in std_logic_vector(23 downto 0); q:out std_logic_vector(5 downto 0); END ENTITY pcontrol; ARCHITECTURE behave OF pcontrol IS signal q1: std_logic_vector(23 downto 0); BEGIN q1=qf+x; q=q1(23 downto 18); END ARCHITECTURE behave;,4、主控器 LIBRARY IEEE;-主控制器 USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY control IS PORT ( sel:in std_logic_vector(2 downto 0); qx:in std_logic_vector(5 downto 0); clk:in std_logic; en:out std_logic; cs:out std_logic; q:out std_logic_vector(8 downto 0); END ENTITY control;,ARCHITECTURE behave OF control IS BEGIN PROCESS(sel,qx,clk) BEGIN if sel100 then en=1; cs=1; q=000000000; else en=clk; cs=0; q=sel,5、FPGA的顶层设计,结论,应用EDA技术用FPGA完成波形发生器,通过选择波形的按钮,实现5种波形的互相转换。利用示波器可以验证波形发生器与原定的设计的理论是相一致。 用FPGA完成波形发生器的方法与传统的方法相比较,硬件结构是相当简单的。大大减小了它的体积和重量。可以节去传统的烦琐的硬件设计和硬件组合。易于使用,按下选择波形的选择按钮,即可选出你所需的波形。 应用EDA技术完成波形发生器的设计,设计简单。 可以看到利用EDA技术完成一个电子设计,可以节省我们开发时间,从而提高大大地了我们的效率。采用新技术就是为了提高效率,快速完成设计任务。我们做一个项目时,所在追求的也是快且高效率。不断地去快速掌握并能快速地应用新技术是我们做技术的人的基本素质。我们要注重自己在这方面的能力。当然我们不能废弃我们基本的东西学科基础,全面发展自己,这需要我不断去追求。,谢谢!,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!