可调电子表课程设计

上传人:痛*** 文档编号:144720432 上传时间:2022-08-27 格式:DOC 页数:23 大小:99KB
返回 下载 相关 举报
可调电子表课程设计_第1页
第1页 / 共23页
可调电子表课程设计_第2页
第2页 / 共23页
可调电子表课程设计_第3页
第3页 / 共23页
点击查看更多>>
资源描述
洛阳理工学院课程设计报 告课程名称脉冲与数字电路 设计题目 可调电子表 专业班级学号姓名完成日期课 程 设 计 任 务 书可调电子表摘 要我们正处在一个信息的时代,事物的开展和技术的进步,让我们享受到了诸多的便利。尤其是电子表,它在我们的生活中无处不在。电子表是内部装配有电子元件的表,一般分液晶显示数字式和石英指针式两种。本次程程设计在Quartus II仿真软件上实现对电子表的设计。学习电子表的设计不仅让我们拨开了电子表的微妙,让我们更加牢固的掌握了74160、74193这一类的计数器的使用。运用多个74160器件,使之产生模为24、60周期的计数器,按照一定的关系量来连接,从而产生进位,产生一个能循环计数的正常电子表的功能。再设计一个电子表的调节电路图,以方便调节电子表。完成两局部的封装,对设计结果进行验证,通过输入数据的变化,从而得到所需要的输出。根据需要,或正常计时,或设定分钟和小时,都能得到正确的结果。关键词:Quartus II,电子表,计数器,74160,调节Adjustable Electronic ClockABSTRACTWe are in the age of information, the development of things and technical progress, let us enjoy the many convenience. Especially electronic watch, its in our life is everywhere. An electronic watch is internal assembly have electronic components watch, general points LCD digital and quartz pointer type two kinds. This ChengCheng design in Quartus II simulation software to achieve the design of electronic watch. The design of the electronic learning not only let us through the secrets of the digital watches, let us more solid knowledge of 74160, 74193 this kind of use of the counter. Use DuoGe 74160 devices, causes it to have the mold of 23, 59 cycle of counter, according to certain amount to the relationship between the connection, which carry and produce a cycle count of the normal can the function of digital watches. To design a digital watch the adjustment of the circuit diagram, with convenient adjustment electronic. Complete two part of the package, the design results were verified through the change of input data, and the output of the need. According to the needs, or normal time, or set up minutes and hours, can get the right result.Key words: Quartus II, electronic watch, counter, 74160, adjust目录前言4第1章 设计内容和功能51.1 74160元器件介绍51.2 功能描述6第2章 设计原理72.1 根本电路图7第3章 设计原理详析103.1 计数原理103.2 校时电路原理11第4章仿真波形与分析124.1计时124.11秒计时124.12 分钟计时124.2 调节134.21分钟可调13当K0为1,K1为0时对分钟进行调节。144.22小时可调14当K0为0,K1为1时对小时进行调节。14第5章 LCD液晶显示器实现145.1 LCD液晶显示器145.1.1 LCD液晶显示器源程序145.1.2 LCD显示器封装17LCD显示器源程序封装后即为顶层电路中的LCD1602,如图5-1所示。17第6章 下载验证17谢词20参考文献21课 程 设 计 评 语22前言计数是一种最简单根本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由根本的计数单元和一些控制门所组成,计数单元那么由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。脉冲信号经分频电路输出标准的秒脉冲信号,作为秒计时脉冲。秒计数器计满60后向分计数器产生进位脉冲,分计数器计满60后向时计数器产生进位脉冲,时计数器按模24的规律计数。计数器的输出经显示译码器译码后送显示器显示。当电路计时出现误差时,可以由校时电路分别对“时、“分进行校准。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。计数器可以用来显示产品的工作状态,一般来说主要是用来表示产品已经完成了多少份的折页配页工作。它主要的指标在于计数器的位数,常见的有3位和4位的。很显然,3位数的计数器最大可以显示到999,4位数的最大可以显示到9999。本次试验主要用74160等芯片设计电路图,设计秒脉冲MCLK 和一个手动校正脉冲TMCLK,信号发生器产生稳定的脉冲信号,作为电子表的计时标准。具有“时分秒的十进制数字显示,小时为24进制,分秒为60进制。当正常计数时,秒脉冲连接到计数器上,手动脉冲无效,校对时间时,手动脉冲连接到计数器上,秒脉冲无效。该电子表只对分钟和小时进行校正,可以在任意时间调节时间,在任意时间按下复位键,可将电子表复位清零。对于74160的认识也更一步的加深,它可以改装成二进制计数器、十进制计数器和任意进制计数器,在日后的学习生活中具有十分重要的地位,我们应该学好这个元器件,并能够熟练运用于以后的学习与创造中。第1章 设计内容和功能1.1 74160元器件介绍74160是十进制计数器 当计数到1001时,输出进位RCO=1。 ENT和ENP为使能端,正常工作时为1。CLRN为清零端,低电平有效。LDN低电平有效,CLK为输入工作信号。ENPENTLDNCLRNCLK功能1111上升沿正常计数01上升沿同步设初态01保持RCO=1进位011保持RCO=00异步清0表1-1 74160功能 电子表的设计过程中分别有实现秒、分、小时的功能:秒功能是由两个74160构成模六十来实现的,当每满六十秒时向分钟进位。同样分功能也是由两个74160构成模六十来实现的。小时功能是由两个74160构成模二十四来实现的,当每满二十四小时时清零。其中应注意的是小时进位的产生由分和秒共同控制的.数字钟电路的结构框图:1.2 功能描述数字钟电路的结构框图数字钟工作原理:脉冲信号经分频电路输出标准的秒脉冲信号,作为秒计时脉冲。秒计数器计满60后向分计数器产生进位脉冲,分计数器计满60后向时计数器产生进位脉冲,时计数器按模24的规律计数。计数器的输出经显示译码器译码后送显示器显示。当电路计时出现误差时,可以由校时电路分别对“时、“分进行校准。第2章 设计原理2.1 根本电路图图2-1 计时电路图(1) 右边2个74160实现秒60进位,m7.0输出是01011001时,MCLK上升沿,置零m7.0=00000000,实现了模60的功能;中间2个74160原理一样。(2) 左边2个74160实现小时24进位,s7.0输出为00100011时,当分位进位时,置零s7.0=00000000,实现了模24的功能。图2-2 校时电路图(1) K1K0为校时控制端,TCLK为校时脉冲。RESET为复位端。(2) 当正常计数时,秒脉冲连接到计数器上,手动脉冲无效。(3) 当校时时,把手动脉冲连接到计数器的相应位上,使秒脉冲无效。图2. 3 封装后的电路图1. K1K0为校时控制端,TCLK为校时脉冲。RESET为复位端。2. RW为液晶读写信号,EN为液晶使能信号。3. Block1为图2.1封装后的元器件.4. Lcd1602为图2.2封装后的元器件5. 图中的各个引脚已将添加.已经具备一个电子表工作所需的根本条件了。6. RESET为复位按钮,正常工作时为1,摁下去之后RESET为0,电子表全部清零;K0、K1,是用来实现对电子表的调节,能调节分钟和小时。第3章 设计原理详析3.1 计数原理正常工作时K1K0为00或者11,工作脉冲为MCLK连接到计数器上,手动脉冲TCLK无效。RESET为1。CLRN=1清零端无效。秒低位片,当M3M2M1M0=1001时,RCO=1产生进位,使秒高位ENT、ENP都为1,正常工作。当M6M4M3M0=1111时表示时间为59秒,MLDN =0输出端清零;TFEN为分钟低电位片的使能端,正常工作下K1K0=11或00 ,由此可看出只有时间为59秒下一个上升沿到来时分钟才变化一次,分钟的脉冲信号FENCLK=MCLK,TFLDN=FLDN=由此可看出只有当时间为59分59秒下一个上升沿到来时分钟才清零,同时结合以下文字可看出小时也加一,;当M6M4M3M0=1111时,MLDN=0,秒产生进位,使分钟加1;TSEN为小时的使能端,正常工作下TSEN=,小时的脉冲信号为SHICLK=MCLK,TSLDN=SLDN=, SEN=1时,小时开始加1。且当S5S1S0=111, F6F4F3F0 =1111,M6M4M3M0=1111在下一个上升沿到来时输出全部为0(即为清零)。3.2 校时电路原理(1)只对分钟和小时校正。(2)设置秒脉冲MCLK和一个手动校时脉冲TCLK。(3)当正常计数时,秒脉冲连接到计数器上,手动脉冲无效。(4)当校时时,把手动脉冲连接到计数器的相应位上,使秒脉冲无效。(5)当手动脉冲有上升沿时,相应的位加1,其它位照常计数,不受校时手动脉冲影响。(6)设置2个功能选择按钮,实现下表的功能。表3-2校正原理功能表k0 k1功能FENCLKTFENSHICLKTSEN00正常计数MCLKFENMCLKSEN01调节分钟TCLK1MCLKSN10调节小时MCLKFENTCLK111正常计数MCLKFENMCLKSEN以上4个功能的说明如下:正常计数:时钟全部是秒脉冲,使能端分别是FEN 、SEN调节分钟:分钟时钟是手动脉冲,分钟使能端分别是1。小时正常计数。调节小时:小时时钟是手动脉冲,小时使能端分别是1。分钟正常计数。调节按钮电路公式:(7) 某位调节到最大值时候,不向高位进位,执行清零: 调节分钟到59后,TFLDN=0使分钟清零。调节小时到23后,TSLDN=0使小时清零。清零公式:正常计数时,TFLDN=FLDN ,TSLDN=SLDN第4章仿真波形与分析4.1计时4.11秒计时59秒后,下一上升沿进行清零,同时分加1。4.12 分钟计时59分59秒进位清零。变成1小时。4.13 小时计时23小时59分59秒进位清零,重新从0小时0分0秒开始计时4.2 调节4.21分钟可调当K0为1,K1为0时对分钟进行调节。4.22小时可调当K0为0,K1为1时对小时进行调节。第5章 LCD液晶显示器实现5.1 LCD液晶显示器5.1.1 LCD液晶显示器源程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity LCD1602 is Port ( Clk : in std_logic; -状态机时钟信号,同时也是液晶时钟信号 R0,R1,R2: in std_logic_vector(7 downto 0); rs: buffer std_logic; rw : out std_logic; -液晶读写信号 en : out std_logic; -液晶使能信号 Clk_Out : buffer std_logic; -除输出的秒脉冲 d : buffer std_logic_vector(7 downto 0) ); -LCD的数据线end LCD1602;architecture Behavioral of LCD1602 istype xianshi is array(0 to 31) of std_logic_vector(7 downto 0);-显示缓冲signal qx : xianshi :=(others = 00100000);type state is (clear,ids,dlnf,dcb,ddram1,outdata1,ddram2,outdata2);-ddram2,signal Count : std_logic_vector(15 downto 0);signal LCLK_OUT : std_logic;signal Counts : integer range 0 to 3000000:=0;-;std_logic_vector(22 downto 0);signal Current_State: state;signal tempa : std_logic_vector(4 downto 0):=00000;beginprocess(Clk_Out,clk)-秒脉冲begin if(rising_edge(clk)then Counts = Counts + 1; if(Counts=3000000)then -10000000是1秒 Clk_Out = not Clk_Out; end if; end if;end process;qx(2)= 0011 & R0(7 DOWNTO 4);qx(3)= 0011 & R0(3 DOWNTO 0);qx(5)= 00111010;-:qx(7)= 0011 & R1(7 DOWNTO 4);qx(8)= 0011 & R1(3 DOWNTO 0);qx(10)= 00111010;-:qx(12)= 0011 & R2(7 DOWNTO 4);qx(13)= 0011 & R2(3 DOWNTO 0);process(Clk,LClk_Out) -LCD用脉冲-LClk_Out,6msbegin if(rising_edge(clk)then Count = Count + 1; if(Count =0)then LClk_Out = not LClk_Out; end if; end if;end process;en = LClk_Out;process(LClk_Out ,Current_State) -液晶驱动控制器 begin if rising_edge(LClk_Out )then rs = 0;-写指令 rw d=00000001;-01H,清屏 Current_State d=00111000;-38H-5*7 Current_State d=00000110;-06H: l to r Current_State d=00001100;-0cH Current_State -显示第一行 d=10000000+tempa(3 downto 0); Current_State rs=1; d=qx(conv_integer(tempa); tempa=tempa+1; if tempa=10000 then Current_State=ddram2; else Current_State -显示第2行 d=11000000+tempa(3 downto 0); Current_State RS=1; d=qx(conv_integer(tempa); tempa=tempa+1; if tempa=00000 then Current_State=ddram1;else Current_State null; end case; end if;end process;end Behavioral;5.1.2 LCD显示器封装LCD显示器源程序封装后即为顶层电路中的LCD1602,如图5-1所示。5-1 显示器封装后的元器件即lcd1602第6章 下载验证1安装驱动程序 先把实验板接好,然后:我的电脑属性硬件设备管理器。自动搜索出一个设备。到安装路径下找到“USB-Blaster。2下载设置器件:选菜单 AssignmentsDevice,翻开。共有10个步骤: 选Cyclone ii ,选 EP2C5T144C8 击按钮Device & Pin Option , 开选项卡 Dual-Purpose Pins Use as regular i/o 如下图。设置引脚再下载:选Tools Programmer,进入下一步。连接下载器:按Hardware Setup,在弹出窗口中选USB-Blaster先打钩,再点Start结论通过本次课程设计让我体会到了仅仅一个生活中的小小的东西都是那么的来之不易,同时我也发现我们的生活中到处蕴含着科学,我们应当以发现的眼光看待身边的事物。我们的专业与生活息息相关,来自于生活,这让我提升了学习的兴趣,对于我们专业的走向也有了初步了解。对于74160的认识也更一步的加深,它可以改装成二进制计数器、十进制计数器和任意进制计数器,在日后的学习生活中具有十分重要的地位,我们应该学好这个元器件,并能够熟练运用于以后的学习与创造中。 这次课程设计还让我明白了合作的力量,团队精神是可贵的,同时也是充满着创造力的,我们应该学会团结同学这样在日后学习中就可以到达事半功倍的效果。谢词感谢各位老师与同学在炎炎夏日的酷暑下细心的知道我的课程设计他们让我但觉到了温馨与合作的快乐。在同学的帮助,也有老师的细心指导下,我才能掌握了知识,增加了我们的动手经验。掌握了根本的研究方法、开拓了思路,逐渐形成了的自主学习能力。同时也是老师和学校给了我们良好的学习气氛和人文环境,让我们有这个时机可以增强动手能力,可以提高我们的学习水平。参考文献1.汪国强等.数字系统的VHDL设计M.北京:机械工业出版社,20212. 王振红,VHDL数字电路设计与应用实践教程M.北京:机械工业出版社,20033.孟桥 夏恭恪 信号与线性系统第五版 高等教育出版社20214. 欧阳星明数字逻辑武汉:华中科技大学出版社,20215. 乔庐峰,王志功等译.VHDL数字电路设计教程M.北京:电子工业出版社,20056. 周润景,图雅,张丽敏.基于Quartus II的FPGA/CPLD数字系统设计实现M.北京:电子工业出版社,2021 指导教师 日课 程 设 计 评 语 成绩: 指导教师:_ 年 月 日
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!