8.5_TLC5510接口电路及程序设计

上传人:时间****91 文档编号:128238603 上传时间:2022-08-01 格式:DOC 页数:6 大小:84KB
返回 下载 相关 举报
8.5_TLC5510接口电路及程序设计_第1页
第1页 / 共6页
8.5_TLC5510接口电路及程序设计_第2页
第2页 / 共6页
8.5_TLC5510接口电路及程序设计_第3页
第3页 / 共6页
点击查看更多>>
资源描述
TLC5510接口电路及程序设计TLC5510与FPGA接口电路 n TLC5510是CMOS、8位、20MSPS模拟/数字转换器(ADC),它运用了半闪速构造。TLC5510用单5V电源工作,消耗功率100mW(典型值),具有内部采样和保持电路,具有高阻抗方式的并行口以及内部基准电阻(内部基准电阻使用VDDA可以产生原则的2V满度转换范畴)。n 与闪速转换器(flash converters)相比,半闪速构造减少了功率损耗和晶片尺寸。通过在2步过程(2-step process)中实现转换,可大大减少比较器的数目。转换数据的等待时间为2.5个时钟。FPGA与TLC5510的接口电路图TLC5510与FPGA接口电路图的注释n FPGA_IO1提供TLC5510工作时钟。n FPGA_IO29接受TLC5510的采样数据。n FPGA_IO10为TLC5510提供输出使能信号OE,低电平有效。元件参数C1C70.01FC8C1447FFB1、FB2、FB3铁氧体磁环上表为TLC5510与FPGA接口应用电路元器件TLC5510 VHDL采样控制程序设计 TLC5510时序 n TLC5510是以流水线的工作方式进行工作的。n 它在每一种CLK(时钟)周期都启动一次采样,完毕一次采样;每次启动采样是在CLK的下降沿进行,但是采样转换成果的输出却在2.5CLK周期后,如果计算上输出延时td(D),从采样到输出需经2.5*CLK+ td(D)。对于需要设计的采样控制器,可以觉得,每加一种采样CLK周期,A/D就输出一种采样数据。n 可以通过对FPGA系统时钟进行分频得到一种与TLC5510的工作周期相一致的CLK1送入TLC5510,就可以对TLC5510实现控制 TLC5510时序图TLC5510 VHDL采样控制程序 TLC5510采样控制程序电路符号 TLC5510 VHDL采样控制程序library ieee;use ieee.std_logic_1164.all;entity tlc5510 is port(clk :in std_logic; -系统时钟 oe :out std_logic; -TLC5510的输出使能/OE clk1:out std_logic; -TLC5510的转换时钟 din:in std_logic_vector(7 downto 0); -来自TLC5510的采样数据 dout:out std_logic_vector(7 downto 0); -FPGA数据输出end tlc5510;architecture behav of tlc5510 issignal q:integer range 3 downto 0;beginprocess(clk) -此进程中,把CLK 进行4分频,得到TLC5510的转换时钟beginif clkevent and clk=1 then if q=3 then q=0; else q=2 then clk1=1; -对系统CLK进行4分频else clk1=0;end if;end process;oe=0; -输出使能赋低电平 dout=din; -采样数据输出 end behav;
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 解决方案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!