交通灯控制器剖析

上传人:ba****u 文档编号:102714780 上传时间:2022-06-07 格式:DOCX 页数:20 大小:423.59KB
返回 下载 相关 举报
交通灯控制器剖析_第1页
第1页 / 共20页
交通灯控制器剖析_第2页
第2页 / 共20页
交通灯控制器剖析_第3页
第3页 / 共20页
亲,该文档总共20页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
目录、八、-.前言1第一章设计任务2.第二章题目分析与整体构思3.(1) 该交通灯控制器应具备的功能3.(2) 实现方案3.第三章硬件电路设计4.(1) 交通控制器。4.(2) 5秒定时控制单元4.(3) 45秒定时控制单元4.(4) 25秒定时控制单元4.(5)显示控制单元4.(6)显示译码器单元5.(7)交通灯控制器的顶层文件连接图5.第四章程序设计6.(1)交通灯控制器VHDL源程序6.(2) 45秒定时单元的VHDL源程序8(3) 5秒定时单元的VHDL源程序1.0(4) 25秒定时单元的VHDL源程序11(5) 显示控制单元的VHDL源程序12显示译码器单元的VHDL源程序:13第五章仿真波形图1.5.(1)交通灯控制器仿真波形图1.5(2)45秒定时单元仿真波形图1.5(3)5秒定时单元仿真波形图1.5(4)25秒定时单元仿真波形图1.6(5)显示控制单元仿真波形图1.6(6)显示译码器仿真波形图1.6第六章交通控制器的内部逻辑结构原理图1.7第七章心得体会2.0.参考文献2.1.当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统最重要的组成部分。伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。第一章设计任务十字路口交通信号的控制系统既适用于十字路口汽车行驶的交通控制,也适用于行人自助通过道路的交通管理。由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下:(1)主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。(2)主干道处于常允许通行状态,而支干道有车来才允许通行。(3)当主、支道均有车时,两者交替允许通行,主干道每次放行45s,支干道每次放行25s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5s的黄灯作为过渡,并进行减计时显示。第二章题目分析与整体构思该交通灯控制器应具备的功能设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯45sec黄灯5sec,绿灯40sec,同时用数码管指示当前状态(红、黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。实现方案一从题目中计数值与交通灯的亮灭的关系如图所示(1)交通控制器(2)5秒定时控制单元(3)45秒定时控制单元(4)25秒定时控制单元(5)显示控制单元第三章硬件电路设计XSKZEN45EN25EN05MEN05BDOUTM7.0DOUTB7.0(6)显示译码器单元一丨AIN45M7.OIAIN45B7.OiAIN25M7.O一丨AIN25B7.OiAINO57.OinstYMQ.AIN43.ODOUT76.0inst(7)交通灯控制器的顶层文件连接图EN25LNb3if1EN65B亠。交ENZEENCiEHH|Nain|?,.QI.B)的VHDL源程序HliMIBniC?.;filCLKhlHHT5HH65BBRBlfBCJTDKZCNT45SDiOUiT45i17.C|D0VT4I5BE7.YHQRIN43.enDUTTEi.-OVIMQAihdES,.S3fiSMTTIti.-artrVTCHXO60|-JTDKZ.VHDCNTB5SBW.4119Rq.DDUT7E*.,9RHHE5B7.:2LCLK第四章程序设计(1)交通灯控制器VHDL源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYJTDKZISPORT(CLK,SM,SB:INSTD_LOGIC;MR,MY,MG,BR,BY,BG:OUTSTD_LOGIC);ENDENTITYJTDKZ;ARCHITECTUREARTOFJTDKZISTYPESTATE_TYPEIS(A,B,C,D);SIGNALSTATE:STATE_TYPE;BEGINCNT:PROCESS(CLK)ISVARIABLES:INTEGERRANGE0TO45;VARIABLECLR,EN:BIT;BEGINIF(CLKEVENTANDCLK=1)THENIFCLR=0THEnS:=0;ELSIFEN=0THENS:=S;ELSES:=S+1;ENDIF;CASESTATEISWHENA=MR=0;MY=0;MG=1;BR=1;BY=0;BG=0;IF(SBANDSM)=1THENIFS=45THENSTATE=B;CLR:=0;EN:=0;ELSESTATE=A;CLR:=1;EN:=1;ENDIF;ELSIF(SBAND(NOTSM)=1THENSTATE=B;CLR:=O;EN:=O;ELSESTATEMR=0;MY=1;MG=0;BR=1;BY=0;BG=0;IFS=5THENSTATE=C;CLR:=0;EN:=0;ELSESTATEMR=1;MY=0;MG=0;BR=0;BY=0;BG=1;IF(SMANDSB)=1THENIFS=25THENSTATE=D;CLR:=0;EN:=0;ELSESTATE=C;CLR:=1;EN:=1;ENDIF;ELSIFSB=0THENSTATE=D;CLR:=0;EN:=0;ELSESTATEMR=1;MY=0;MG=0;BR=0;BY=1;BG=0;IFS=5THENSTATE=A;CLR:=0;EN:=0;ELSESTATE=D;CLR:=1;EN:=1;ENDIF;ENDCASE;ENDIF;ENDPROCESSCNT;ENDARCHITECTUREART;45秒定时单元的VHDL源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT45SISPORT(SB,CLK,EN45:INSTD_LOGIC;DOUT45M,DOUT45B:OUTSTD_LOGIC_VECTOR(7DOWNTO0);ENDENTITYCNT45S;ARCHITECTUREARTOFCNT45SISSIGNALCNT6B:STD_LOGIC_VECTOR(5DOWNTO0);BEGINPROCESS(SB,CLK,EN45)ISBEGINIFSB=0THENCNT6B=CNT6B-CNT6B-1;ELSIF(CLKEVENTANDCLK=1)THENIFEN45=1THENCNT6B=CNT6B+1;ELSIFEN45=0THENCNT6BDOUT45M=01000101;DOUT45BDOUT45M=01000100;DOUT45BDOUT45M=01000011;DOUT45BDOUT45M=01000010;DOUT45BDOUT45M=01000001;DOUT45BDOUT45M=01000000;DOUT45BDOUT45M=00111001;DOUT45BDOUT45M=00111000;DOUT45BDOUT45M=00110111;DOUT45BD0UT45M=00110110;D0UT45BD0UT45M=00110101;D0UT45BD0UT45M=00110100;D0UT45BD0UT45M=00110011;D0UT45BD0UT45M=00110010;D0UT45BD0UT45M=00110001;D0UT45BD0UT45M=00110000;D0UT45BD0UT45M=00101001;D0UT45BD0UT45M=00101000;D0UT45BD0UT45M=00100111;D0UT45BD0UT45M=00100110;D0UT45BD0UT45M=00100101;D0UT45BD0UT45M=00100100;D0UT45BD0UT45M=00100011;D0UT45BD0UT45M=00100010;D0UT45BD0UT45M=00100001;D0UT45BD0UT45M=00100000;D0UT45BD0UT45M=00011001;D0UT45BD0UT45M=00011000;D0UT45BD0UT45M=00010111;D0UT45BD0UT45M=00010110;D0UT45BD0UT45M=00010101;D0UT45BD0UT45M=00010100;D0UT45BD0UT45M=00010011;D0UT45BD0UT45M=00010010;D0UT45BD0UT45M=00010001;D0UT45BD0UT45M=00010000;D0UT45BD0UT45M=00001001;D0UT45BD0UT45M=00001000;D0UT45BD0UT45M=00000111;D0UT45BD0UT45M=00000110;D0UT45BD0UT45M=00000101;D0UT45BD0UT45M=00000100;D0UT45BDOUT45M=00000011;DOUT45BDOUT45M=00000010;DOUT45BDOUT45M=00000001;DOUT45BDOUT45M=00000000;DOUT45B=00000000;ENDCASE;ENDPROCESS;ENDARCHITECTUREART;5秒定时单元的VHDL源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT05SISPORT(CLK,EN05M,EN05B:INSTD_LOGIC;DOUT5:OUTSTD_LOGIC_VECTOR(7DOWNTO0);ENDENTITYCNT05S;ARCHITECTUREARTOFCNT05SISSIGNALCNT3B:STD_LOGIC_VECTOR(2DOWNTO0);BEGINPROCESS(CLK,EN05M,EN05B)ISBEGINIF(CLKEVENTANDCLK=1)THENIFEN05M=1OREN05B=1THENCNT3B=CNT3B+1;ELSECNT3BDOUT5DOUT5DOUT5DOUT5D0UT5-D0UT5=00000000;ENDCASE;ENDPROCESS;ENDARCHITECTUREART;25秒定时单元的VHDL源程序-CNT25S.VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT25SISPORT(SB,SM,CLK,EN25:INSTD_LOGIC;DOUT25M,DOUT25B:OUTSTD_LOGIC_VECTOR(7DOWNTO0);ENDENTITYCNT25S;ARCHITECTUREARTOFCNT25SISSIGNALCNT5B:STD_LOGIC_VECTOR(4DOWNTO0);BEGINPROCESS(SB,SM,CLK,EN25)ISBEGINIFSB=0ORSM=0THENCNT5B=CNT5B-CNT5B-1;ELSIF(CLKEVENTANDCLK=1)THENIFEN25=1THENCNT5B=CNT5B+1;ELSIFEN25=0THENCNT5BD0UT25B=00100101;DOUT25MDOUT25B=00100100;DOUT25MDOUT25B=00100011;DOUT25MDOUT25B=00100010;DOUT25MDOUT25B=00100001;DOUT25MDOUT25B=00100000;DOUT25MDOUT25B=00011001;DOUT25MDOUT25B=00011000;DOUT25MDOUT25B=00010111;DOUT25MDOUT25B=00010110;DOUT25MDOUT25B=00010101;DOUT25MDOUT25B=00010100;DOUT25MDOUT25B=00010011;DOUT25MDOUT25B=00010010;DOUT25MDOUT25B=00010001;DOUT25MDOUT25B=00010000;DOUT25MDOUT25B=00001001;DOUT25MDOUT25B=00001000;DOUT25MDOUT25B=00000111;DOUT25MDOUT25B=00000110;DOUT25MDOUT25B=00000101;DOUT25MDOUT25B=00000100;DOUT25MDOUT25B=00000011;DOUT25MDOUT25B=00000010;DOUT25MDOUT25B=00000001;DOUT25MDOUT25B=00000000;DOUT25M=00000000;ENDCASE;ENDPROCESS;ENDARCHITECTUREART;显示控制单元的VHDL源程序-XSKZ.VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYXSKZISPORT(EN45,EN25,EN05M,EN05B:INSTD_LOGIC;AIN45M,AIN45B:INSTD_LOGIC_VECTOR(7DOWNTO0);AIN25M,AIN25B,AIN05:INSTD_LOGIC_VECTOR(7DOWNTO0);DOUTMQOUTB:OUTSTD_LOGIC_VECTOR(7DOWNTO0);ENDENTITYXSKZ;ARCHITECTUREARTOFXSKZISBEGINPROCESS(EN45,EN25,EN05M,EN05B)ISBEGINIFEN45=1THENDOUTM=AIN45M(7DOWNTO0);DOUTB=AIN45B(7DOWNTO0);ELSIFEN05M=1THENDOUTM=AIN05(7DOWNTO0);DOUTB=AIN05(7DOWNTO0);ELSIFEN25=1THENDOUTM=AIN25M(7DOWNTO0);DOUTB=AIN25B(7DOWNTO0);ELSIFEN05B=1THENDOUTM=AIN05(7DOWNTO0);DOUTBDOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7=0000000;ENDCASE;ENDPROCESS;ENDARCHITECTUREART;第五章(1)交通灯控制器仿真波形图仿真波形图(2)45秒定时单元仿真波形图任MasterImeBar19.925nsiriiiuiMVunwdvinwriiiiSinulatiooinode:TiwuMsleiTimeEl-aiA為IfUTEVblflJt1.99jAcue*:助回KVT5电I!强1.ISU5BA1IL1IHOEHAift-+19.92550813望社as055.3B址StaitlinlBvatSK.11L.&36mi_l1丨厂nii_iL5Hl1賞-iSI:1:1Li厂1_rt,966”(4)25秒定时单元仿真波形图SimulationWaveformsSlnula.L3onnadvTariing寸Painten13.925Iiterval327se1.311IVl-X.MasterTimeBai.1iiiI:1rn::厂n.:kQ5rto)iii;iT:!丁!丁!;(5)显示控制单元仿真波形图SimubtlonWaveformsSii-al4.tionfioda.Tird珂aMasterTime-启arInleivd1QK51wsEnd1矗鹑MS19925rtskA玉叹HugValue!9.9cipo田AIKi5A住Q虹矍驗Atl1=18国AISZEflAE127FA肛昨BAi谆鶉/uiM5nA13B01JTB1fl岳S40BOOWA11563EB05E:血i屮4E畑hljl65fH25曲iESh1】63聊3酊悶w铛1翠吃&55护w梓诟L1*TL3*祁-lOjrriliLUJ-0DOUTB620_OUT0DOUTB618DOUTB6201l15*DOUTM【15_OUT0DOUTB619DOUTM26AIN25B7.0DOUTM412DOUTB515DOUTB517一DOUTB414_OUT03【4卜121DOUTB311_OUT0DOUTB39DOUTB【2卜8_OUT0DOUTB【218DOUTB【1卜5_OUT0DOUTB【012DOUTB7.0DOUTM【7卜26_OUT00DOUTB516DOUTB310DOUTB0DOUTB13DOUTB【0卜0-DOUTM7126Mux0DOUTB517_OUT0DOUTB【4卜13DOUTB【2卜7*DOUTB14DOUTB【0卜1DOUIB【414DOUTB【3|11DOUIB【15DOUTB0$latch第七章心得体会EDA设计我感觉程序调试最重要,试验软件、硬件熟悉其次。我在编完各模块程序之后,编译查错最初有三十几个错误,有输入错误、语法错误。一遍一遍的变异查错,直到没有错误。必须注意工程名和实体名一致,不然一般会出错。在没有错误之后可以进行波型仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。都通过可以进行管脚配对,把程序烧入芯片,在实物机上看结果,从显示中得出还需改正的地方,再去改程序。必须注意没改一次都要编译,重新编入。设计创新1、模块化编程,模块化接线,再编译总原理图,思路比较清楚解容易。2、可以比较容易的改变红绿灯的时间。3、有的模块可以供其它任务通用。参考文献(1) 潘松,黄继业.2006.EDA技术使用教程.北京:科学出版社。(2) 黄任;2005;VHDI入门.解惑.经典实例.经验总结.北京:北京航空航)天大学出版社。(3) 徐志军,徐光辉.2002.CPLD/FPGA的开发与应用.北京:电子工业出版社。(4) 褚振勇.FPGA设计与应用.西安:西安电子科技大学出版社。
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 办公文档 > 活动策划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!