ASICDesign1复旦大学专用集成电路课件(共5个).ppt

上传人:max****ui 文档编号:8289681 上传时间:2020-03-28 格式:PPT 页数:42 大小:381.50KB
返回 下载 相关 举报
ASICDesign1复旦大学专用集成电路课件(共5个).ppt_第1页
第1页 / 共42页
ASICDesign1复旦大学专用集成电路课件(共5个).ppt_第2页
第2页 / 共42页
ASICDesign1复旦大学专用集成电路课件(共5个).ppt_第3页
第3页 / 共42页
点击查看更多>>
资源描述
专用集成电路设计方法 俞军Tel 53085050Email yujun 课程安排 专用集成电路概述1周ASIC的设计流程和设计方法 重点 设计描述 设计流程1周设计策略 综合方法1周设计验证 ASIC设计中的考虑因素1周深亚微米设计方法和设计技术以及EDA技术的发展1周 课程安排 专用集成电路的测试方法Design for TestBasics2周可编程ASIC可编程ASIC器件的结构 资源 分类和开发系统1周Xilinx Altera可编程器件2周 第一章专用集成电路概述 1 1通用集成电路和专用集成电路通用集成电路 市场上能买到的具有通用功能的集成电路74系列 4000系列 Memory CPU等专用集成电路ASIC ApplicationSpecificIntegratedCircuits SUNSPARCWorkstation中的9块电路 某些加密电路等 第一章专用集成电路概述 专用标准电路ASSP Application SpecificStandardProducts Modem芯片 DVDdecoder VCDdecoder audioDAC MotorServoDSP等 第一章专用集成电路概述 1 2集成电路发展简史 第一章专用集成电路概述 1 3专用集成电路的类型及特点分为三类全定制 FullCustom 半定制 Semi Custom 可编程 Programable 第一章专用集成电路概述 1 3 1全定制 FullCustom 生产上不预加工设计上无预处理和预编译的单元库 全人工版图设计1 3 2基于单元的ASIC Cell BasedASIC 是利用预先设计好的单元进行版图设计的 有两种类型 一种是标准单元 StandardCell 另一种单元称为宏单元 Macro 或核心 Core 单元 第一章专用集成电路概述 1 3 2基于门阵的ASIC GateArrayASIC1 3 4可编程逻辑器件PLD ProgrammableLogicDevice PALGALPLAFPGACPLD 第一章专用集成电路概述 1 3 5各种ASIC类型的优缺点比较 第一章专用集成电路概述 1 4集成电路设计和制造过程设计过程制定规范 SPEC 系统设计 SystemDesign 电路设计 CircuitDesign 版图设计 LayoutDesign 制造过程制版掩膜版制造 MASK 流片 Fab 光刻 生长 扩散 掺杂 金属化 蒸铝等产生Pn结 NPN结构 MOS电阻 电容等 第一章专用集成电路概述 制造过程测试 Testing 以Spec和TestVector为标准检测制造出的芯片是否满足设计要求封装 Packaging 磨片划片 Sawing 键合 WireBonding 包封 Packaging 形式 DIP QFP PLCC PGA BGA FCPGA等 集成电路设计过程 第一章专用集成电路概述 1 5ASIC技术现状和发展趋势摩尔规律 每十八个月 集成度增加一倍 速度上升一倍 器件密度上升一倍 第一章专用集成电路概述 专用集成电路预测与发展SOC Systemonachip 工艺 Process 由0 35um 0 25um 0 18um进入0 13um 0 10um即高速 低压 低功耗EDA设计工具与设计方法必须变革以适应深亚微米工艺的发展 如SinglePass PhysicalSynthesis等 可编程器件向更高密度 更大规模和更广泛的领域发展 如MixedSignal MCMAnalog电路 高速 高精度 低功耗 低电压ASIC产品的发展动向内嵌式系统 EmbededSystem 自动控制 仪器仪表 计算机 通讯结合的系统芯片 CableModem 1G 多媒体芯片 MpegDecoderEncoder STB IA 人工智能芯片光集成电路 第二章ASIC设计流程和方法 2 1概述设计过程分电路设计 前端设计版图设计 后端设计设计流程 方法 分自底向上 BottomUp 自顶向下 TopDown 数字集成电路设计行为方面结构方面物理方面 第二章ASIC设计流程和方法 2 1概述设计策略设计描述自动化设计的综合方法设计验证方法深亚微米设计方法和EAD工具的发展 第二章ASIC设计流程和方法 2 2设计描述描述方面行为描述结构描述物理描述设计抽象的层次系统算法级寄存器传输级 RTL级 逻辑级和电路级最低层的晶体管级电路 第二章ASIC设计流程和方法 2 2 1 硬件描述语言HDL HardwareDescriptionLanguage VHDLVHDL描述能力强 覆盖面广 可用于多种层次的电路描述 VHDL的硬件描述与工艺技术无关 不会因工艺变化而使描述无效 VHDL支持设计再利用 Reuse 方法 支持超大规模集成电路设计的分解和组合 可读性好 易于理解 国际标准 具备通用性 第二章ASIC设计流程和方法 VHDL设计描述由五种基本设计单元组成设计实体说明 Entitydeclaration 结构体 Architecturebody 配置说明 Configurationdeclaration 集合元说明 Packagedec1aration 集合元 Packagebody 第二章ASIC设计流程和方法 ENTITYmuxISGENERIC m TIME 2ns PORT in1 in2 sel INBIT out1 OUTBIT ENDmux 设计实体说明 第二章ASIC设计流程和方法 AECHITECTUREtwown1OFmuxISBEGINIFsel 1 THENout1 1 ELSEout1 in2AFTERm ENDtwown1 行为描述 第二章ASIC设计流程和方法 AECHITECTUREtwown2OFmuxISBEGINNOT Sb U0 sel AND2 S1 U1 sel in1 AND2 S2 U2 Sb in2 OR out1 U3 s1 s2 ENDtwown2 结构描述1 第二章ASIC设计流程和方法 AECHITECTUREtwown3OFmuxISBEGINNOT Sb U0 sel NAND2 S1 U1 sel in1 NAND2 S2 U2 Sb in2 NAND out1 U3 s1 s2 ENDtwown3 结构描述2 VHDL设计环境 第二章ASIC设计流程和方法 VerilogHDL能用于行为描述和结构描述 电路描述同时可以包含不同层次 且能和混合模式的模型一起进行模拟Verilog使用四值逻辑 即0 l X和Z 其中 X 为不定态 Z为悬空态使用的基本数据类型是 与 和 寄存器 第二章ASIC设计流程和方法 2 2 2行为描述 算法描述 举例一位全加器 第二章ASIC设计流程和方法 Verilog HDL描述进位算法描述 modulecarry co a b c outputco inputa b c wire 10co a b a c b c endmodule 第二章ASIC设计流程和方法 2 23结构描述RTL registerTransferLevel 级门级 GateLevel 开关级 SwitchLevel 电路级 CircuitLevel 4位加法器的结构描述 第二章ASIC设计流程和方法 4位加法器的结构描述moduleadd4 s c4 ci a b input 3 0 a b inputci output 3 0 s outputc4 wire 2 0 co adda0 co 0 s 0 a 0 b 0 ci adda1 co 1 s 1 a 1 b 1 c 0 adda1 co 2 s 2 a 2 b 2 c 2 adda1 co4 s 3 a 3 b 3 co 2 endmodule moduleadd co s a b c inputa b c outputs co sums1 s a b c carryc1 co a b c endmodulemodulecarry co a b c inputa b c outputco wirex y z andg1 x a b andg2 y a c andg3 z b c or3g4 co x y z endmodule 第二章ASIC设计流程和方法 开关级描述 1 modulecarry co a b c inputa b c outputco wireil i2 i3 i4 i5 i6 nmosnl i3 i4 a nmosn2 i4 vss b nmosn3 i3 i5 b nmosn4 i5 vss c nmosn5 i3 i6 a nmosn6 i6 vss c nmosn7 co vss i3 pmospi il vdd a pmosp2 i2 il b pmosp3 i3 i2 c pmosp4 il vdd b pmosp5 i2 il c pmosp6 i3 i2 a pmosp7 co vdd i3 endmodule 第二章ASIC设计流程和方法 开关级描述 2 modulecarry co a b c inputa b c outputco wireil i2 i3 i4 en nmosnl il vss a nmosn2 il vss b nmosn3 en il c nmosn4 i2 vss b nmosns en i2 a pmospl i3 vdd b pmosp2 en i3 a pmosp3 cn i4 c pmosp4 i4 vdd b pmosp5 i4 vdd a pmosp6 co vdd en pmosn6 co vss en endmodule 第二章ASIC设计流程和方法 2 2 4物理描述 moduleadd4 inputa 3 0 b 3 0 inputci outputs 3 0 outpuc4 boundary 0 0 100 400 portporta 0 aluminumwidth lorigin 0 25 portb 0 aluminumwidth lorigin 0 75 portcipolysiliconwidth l origin 50 0 porta 0 aluminumwidth laddsoorigin 0 0 adda1origin 0 100 endmodule 第二章ASIC设计流程和方法 2 3设计流程2 3 1bottom Up自底向上 Bottom Up 设计是集成电路和PCB板的传统设计方法 该方法盛行于七 八十年设计从逻辑级开始 采用逻辑单元和少数行为级模块构成层次式模型进行层次设计 从门级开始逐级向上组成RTL级模块 再由若于RTL模块构成电路系统对于集成度在一万门以内的ASIC设计是行之有效的 无法完成十万门以上的设计设计效率低 周期长 一次设计成功率低 第二章ASIC设计流程和方法 2 3设计流程2 3 2Top Down设计Top Down流程在EDA工具支持下逐步成为IC主要的设计方法从确定电路系统的性能指标开始 自系统级 寄存器传输级 逻辑级直到物理级逐级细化并逐级验证其功能和性能 第二章ASIC设计流程和方法 关键技术首先是需要开发系统级模型及建立模型库 这些行为模型与实现工艺无关 仅用于系统级和RTL级模拟 系统级功能验证技术 验证系统功能时不必考虑电路的实现结构和实现方法 这是对付设计复杂性日益增加的重要技术 目前系统级DSP模拟商品化软件有Comdisco Cossap等 它们的通讯库 滤波器库等都是系统级模型库成功的例子 逻辑综合 是行为设计自动转换到逻辑结构设计的重要步骤 第二章ASIC设计流程和方法 Top Down设计与Bottom Up设计相比 具有以下优点 设计从行为到结构再到物理级 每一步部进都进行验证 提高了一次设计的成功率 提高了设计效率 缩短了ASIC的开发周期 降低了产品的开发成本设计成功的电路或其中的模块可以放入以后的设计中提高了设计的再使用率 Reuse 第二章ASIC设计流程和方法 2 4设计策略2 4 1概述设计参数电路性能 包括功能 速度 功耗和应用特性芯片尺寸电路的可测性及测试码生成的难易性 设计周期成功率 TimetoMarket 经济性 Profit 设计效率 Efficiency
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!