信工系毕业设计撰写规范含范文2017

上传人:1** 文档编号:359571 上传时间:2018-06-28 格式:DOC 页数:42 大小:1.40MB
返回 下载 相关 举报
信工系毕业设计撰写规范含范文2017_第1页
第1页 / 共42页
信工系毕业设计撰写规范含范文2017_第2页
第2页 / 共42页
信工系毕业设计撰写规范含范文2017_第3页
第3页 / 共42页
点击查看更多>>
资源描述
1郑州升达经贸管理学院本科毕业论文(设计)撰写规范为了保证我院本科毕业论文(设计)的质量,规范论文写作,特制定本规范。一、论文印装毕业论文(设计)采用 A4白纸单面打印。正文汉字用宋体,数字和字母使用 Times New Roman,均使用小四号字;版面页边距:上 2.5cm,下 2.5cm,左 3cm,右 2.5cm;行距:1.5 倍行距;字间距:标准,即 word自动默认;靠左边装订。二、论文结构及要求毕业论文(设计)由以下部分组成:封面;扉页;任务书;中英文摘要及关键词;目录;正文;参考文献;致谢;附录。(一)封面及扉页封面要求浅蓝色皮纹纸,封面及扉页格式按撰写模板打印。(二)题目题目要用简明的词语,反映论文(设计)的特定内容及所研究的范围和深度。题目的标题字数要适当,一般不超过 20个字。(三)中英文摘要及关键词(中文在前,英文在后)摘要是论文内容的简要陈述,应尽量反映论文的主要信息,内容包括研究目的、方法、成果和结论,不含图表,不加注释,具有独立性和完整性。中文摘要以 300字左右为宜,英文摘要应与中文摘要内容一致。2“摘要”字样位置居中。关键词是反映毕业论文(设计)主题内容的名词,供检索使用。选词应为通用(技术)词汇,关键词一般为 35个,关键词之间用分号隔开。 关键词另起行,排在摘要内容部分下方。(四)目录目录页排在中英文摘要之后,按照论文(设计)的章、节、附录等前后顺序,编写序号、名称和页码,右侧对齐。一般按三级标题编写,例如“1、1.1、1.1.1” ;要求标题层次清晰,目录中的标题应与正文中的标题一致。(五)正文毕业论文(设计)正文部分包括:绪论(或前言、序言、引言)、正文主体及结论。绪论是论文的简要概括,说明论文所要研究的问题,论文选题的背景、意义以及研究目的。 “绪论” 加题序 ,格式与正文标题格式一致,正文主体是论文的主要组成部分。正文主体要求层次清楚,文字简练,通顺,重点突出。正文不少于 8000字(理工科不少于15000字,30-40 页,不包括参考文献、致谢和附录) ,艺术类、体育类专业毕业论文(设计)正文不少于 5000字。中文论文撰写的题序层级的格式:层级第一层级 1第二层级 1.1第三层级 1.1.1第四层级 1.1.1.1格式是保证文章结构清晰、纲目分明的编辑手段,撰写毕业论3文(设计)格式必须符合上表规定。格式除题序层次外,还应包括分段、行距、字体和字号等。第一层级题序和标题用三号黑体,左顶格。题序和标题之间空一个汉字符,不加标点,下同。第一层级题序和标题距下文双倍行距。段落开始后缩两个汉字符。行与行之间,段落和层级标题以及各段落之间均为 1.5倍行距。第二层级(节)题序和标题用小三号黑体字,左顶格。第三层级(条)题序和标题用四号黑体字,左顶格。第四层级及以下各层级题序及标题一律用小四号黑体字,左顶格。正文的内容用小四号宋体字,1.5 倍行距。整篇论文的结论(或结束语)作为单独一章排列,另起一页,结论(或结束语)前加题序。结论是整个论文的总结,应以简练的文字说明论文所做的工作,一般不超过两页。(六)参考文献为了反映论文的科学依据和作者尊重他人研究成果的严肃态度,同时向读者提供有关信息的出处,正文之后要列出主要参考文献。应按论文(设计)中所出现的先后次序列于参考文献中。并且只应列出正文中以标注形式引用的正式出版物,包括期刊、书籍、论文集和会议文集等。正文中引用参考文献的部位,须用上标标注参考文献序号,标注在标点符号之前。参考文献应按文中引用出现的顺序排列。一篇论著在论文中多处引用时,在参考文献中只应出现一次,序号以第一次出现的位置为准。一般做毕业论文(设计)的参考文献不宜过多,但应列入主要的文献,不少于 15篇(含外文文献至少 2篇) 。4参考文献汉字使用 5号宋体,字母和数字使用 Times New Roman,格式如下:1.期刊文章序号 主要责任者文献题名J刊名,年,卷( 期):起止页码例如:1 张德武,江国星数据挖掘技术J现代计算机,2002,(12):23-272.专著序号 主要责任者文献题名M 出版地:出版者,出版年:起止页码例如:2 戴启德,纪有亮油气储层地质学M东营:石油大学出版社,1996:110-1193. 论文集中的析出文献序号 析出文献主要责任者析出文献题名A原文献主要责任者原文献题名C 出版地:出版者,出版年:析出文献起止页码例如:3 瞿秋白现代文明的问题与社会主义A罗荣渠从西化到现代化C北京:北京大学出版社,1990:121-1334. 学位论文序号 主要责任者文献题名D保存地:保存单位,年份例如:4 朱明地方高校核心竞争力研究D北京:北京理工大学,200555.报告序号 主要责任者文献题名R报告地:报告会主办单位,年份例如:5 冯西桥核反应堆压力容器的 LBB 分析R 北京:清华大学核能技术设计研究院,19976.专利文献序号 专利所有者专利题名P专利国别:专利号,发布日期例如:6 姜锡洲一种温热外敷药制备方案P中国专利:881056078,1983-08-12 7.国际标准、国家标准序号 标准编号,标准名称S例如:7 GB/T16159-1996,汉语拼音正词法基本规则S 8.报纸文章序号 主要责任者文献题名N报纸名,出版日期( 版次)例如:8 毛峡情感工学破解 “舒服”之迷N光明日报,2000-4-17(B1)9.电子文献序号 主要责任者电子文献题名电子文献及载体类型标识电子文献的出版或可获得地址,发表或更新日期例如:9 王明亮中国学术期刊标准化数据库系统工程的进展EB/OLhttp:/www.cajcd.cn/pub/wml.txt/980810-2.html,1998-08-1610.各种未定类型的文献6序号 主要责任者文献题名Z出版地:出版者,出版年例如:10 中华人民共和国科学技术委员会科学技术期刊管理办法Z1991注: 论文中被引用的参考文献按引用先后顺序用阿拉伯数字连续编号,在文中以上标形式标注,使用 5 号宋体。参考文献部分应与文中编号顺序相对应。 作者姓名写到第三位,余者写“,等”;中外人名用英文或拼音书写一律采用姓在前,名在后的著录法。 外文中的标点符号遵循外文的习惯用法(外文的缩写和转行遵循有关规则) 。(七)致谢致谢是作者对他认为在论文过程中特别需要感谢的组织或者个人表示谢意的内容。文字要简捷、实事求是。(八)附录对于一些不宜放在正文中,但又具有重要参考价值的内容(例如公式的推导、编写的程序清单、实验的数据等)可以编入毕业论文(设计)的附录中。三、其它要求(一)文字论文中汉字应采用简化汉字总表规定的简化字,并严格执行汉字的规范。(二)表格论文的表格可以统一编序(如:表 15) ,也可以逐章单独编序7(如:表 2-1) ,采用哪种方式应和插图及公式的编序方式统一。表序必须连续,不得重复或跳跃。表格的结构应简洁,采用三线表。表格的排版应合理美观,不得跨页。表格中各栏都应标注量和相应的单位。表格内数字须上下对齐,相邻栏内的数值相同时,不能用“同上” 、 “同左”和其它类似用词,应一一重新标注。表序和表题置于表格上方中间位置,无表题的表序置于表格的左上方或右上方(同一篇论文位置应一致) 。(三)图插图要精选。图序可以连续编序(如:图 2) ,也可按章单独编序(如:图 2-2) ,采用的方式应与表格、公式的编序方式统一,图序必须连续,不得重复或跳跃。仅有一个图时,在图题前加“附图”字样。图号图题、表号表题位置要居中。毕业论文(设计)中的插图以及图中文字符号应打印,无法打印时一律用黑色字迹笔绘制和标出。由若干个分图组成的插图,分图用 a,b,c,标出。图序和图题置于图下方中间位置,用 5号字,宋体。(四)公式论文中重要的或者后文中须重新提及的公式应注序号并加圆括号,序号一律用阿拉伯数字连续编序(如:(45) )或逐章编序(如:(2-7) ) ,序号排在版面右侧,且距右边距离相等。公式与8序号之间不加虚线。(五)数字用法公历世纪、年代、年、月、日、时间和各种计数、计量,均用阿位伯数字。年份不能简写,如 1999年不能写成 99年。数值的有效数字应全部写出。如:0.50:2.00 不能写作 0.5:2。(六)软件软件流程图见“五、有关图表的特别说明”程序代码 5号 Times New Roman,行距 1.5倍,中文字体 5号宋体。一副设计页面图下程序代码长度控制在一页之内。(七)工程图工程图应遵循国家标准的最新规定,对设计类专业计算机绘图应占一定的比例。若工程图均小于或等于 A3幅面时,应与论文装订在一起,若有大于 A3幅面时,所有图纸应按国标规定单独装订成册作为附图。(八)计量单位的定义和使用方法按国家计量局规定执行。(九)页码从正文开始按阿拉伯数字(1,2,3)连续编排,之前的部分(中文摘要、Abstract、目录等)用大写罗马数字(,)单独编排,均使用 10.5磅宋体居中排列。四、毕业论文(设计)装订顺序及资料清单(一)装订顺序1.封面及扉页;92.毕业论文(设计)任务书;3.论文(中英文摘要及关键词、目录、正文、参考文献、致谢、附录) ;(二)毕业论文(设计)资料袋所含资料清单:1.毕业论文(设计)开题报告;2.论文(含任务书)及附图;3.师生见面情况记录;4.中期检查表;5.学生自查表;6.指导教师意见书(评审标准) ;7.评审表(评阅人用) ;8.论文相似性检测报告;9.答辩评审表;10.答辩记录表;11.其他。五、有关图表的特别说明特别说明:对于论文中出现的电子制图,由相应的制图工具软件绘制,例如图 1-1中单片机控制系统由 Protel画出,图 1-2由Proteus画出。保证图形的清晰,其它从网上拷贝的图形不允许使用。对于论文中出现的电气控制系统图纸,需要学生提供由AutoCAD2010画出的电气图。10图 1-1 单片机控制系统部分图图 1-2 单片机最小系统图111.系统功能结构模块图(1)要求:系统功能结构模块图设计过程就是把一个复杂的系统分解为多个功能较单一的过程。这种分解为多个功能较单一模块的方法称做模块化。模块化是一种重要的设计思想,这种思想把一个复杂的系统分解为一些规模较小、功能较简单的、更易于建立和修改的部分,一方面,各个模块具有相对独立性,可以分别加以设计实现,另一方面,模块之间的相互关系 (如信息交换、调用关系),则通过一定的方式予以说明,各模块在这些关系的约束下共同构成统一的整体,完成系统的各项功能。(2)绘制方法 Microsoft Visio 绘图软件。 Microsoft Word 在绘图画布中添加各种形状绘制。(3)规范要求 每个模块使用矩形形状。 图中文字和图序号标题要求,五号字体,中文宋体,英文Times New Roman,居中。 所有形状绘制在画布中,整幅图居中。 网络结构图或拓扑图使用 Visio软件绘制,网络设备符号要使用正确。(4)示例12 示例 1(画布绘制)学生学籍管理系统管理员模块学生基本信息管理学生学籍管理学生课程管理任课教师管理学生成绩管理其他信息管理学生模块基本信息的查询课程查询成绩查询任课教师查询其他查询图 1-1 系统功能结构模块图 示例 2(Visio 绘制) I n t e r n e t 客户端数据库服务器负 载 均 衡 器备份负载均衡器网络电视 流媒体服务器流媒体服务器网络电视光纤磁盘阵列光纤通道交换机光纤通道交换机客户端 客户端 客户端客户端流媒体直接返回客户端 第一层第二层第三层13图 1-2 流媒体集群系统结构图 示例 3(画布绘制)数字内容有线电视直播视频Windows 编码器 Windows 媒体服务器 Windows 媒体播放器创作 分发 播放图 1-3 Windows 流媒体技术及制作播放流程2.流程图流程图是描述系统数据流程的工具,它将数据独立抽象出来,通过图形方式描述信息的来龙去脉和实际流程,利用几种符号综合的反映出信息在系统中的流动、处理和存储的情况,数据流程图具有抽象性和概括性。(1)绘制方法 Microsoft Visio 绘图软件。 Microsoft Word 在绘图画布中添加各种形状绘制。(2)规范要求 注意流程图中形状符号的使用。14图 2-1 程序流程图符号名称与意义 流程图绘制原则流程图分中心主轴及旁支说明。流程图符号绘制排列顺序,为由上而下,由左而右。处理程序需以单一入口与单一出口特性绘制,如图 2-2所示。12是否12是否修正前 修正后图 2-2 处理程序单一入口和出口修正流程图一页放不下时,可使用连接符号连接下一页流程图,同一页流程图中,若流程图较复杂,亦可使用连接符号来述明流程连接性。相同流程图符号宜大小一致。15开始符号在流程图中只能出现一次,但结束符号则不限。选择结构及循环结构之选择或循环条件,文字叙述应简明清晰,路径需加注“ 是” 、 “否” 或其他文字指示说明。(3)示例 示例 1初始化窗体等待按键单击左键 单击左键第一次按下 是否已标记布置地雷开始计时按下区域是否为雷区游戏结束是否继续标记 取消标记显示区域递归扫雷是否否否 否否是是是否是 是结束开始图 2-3 扫雷游戏流程图16 示例 2开始收集数据及图片等信息整理信息,做出草图构建场景与模型虚拟校园场景整体拼合完成虚拟校园漫游系统的建立结束图 2-4 虚拟校园系统制作流程图3.程序运行界面图、屏幕截图毕业设计论文中会出现很多的屏幕截图,比如程序运行界面图、效果图、开发软件界面图等,进行屏幕截图时,应遵循以下原则。(1)屏幕截图方法 PrintScreen截取全屏,Alt+PrintScreen 截取活动窗口,配合画图软件截取窗口中的局部图像。 使用截屏软件:Word 中的“屏幕截图” ,SnagIt,HyperSnap,ACDSee,QQ 等。(2)规范要求 截图必须清晰,不能模糊不清。 尺寸要求规范化,一般不超过页面的左右边界,对于较大17的图,可以适当等比例缩放。 截图中统一不包含鼠标指针。 截图图片布局是嵌入型。 对活动窗口的截图,直接截取,不要再在其他软件中裁切,避免在图四周出现难看的边框。 调整图片大小时,一定要从右下角拖曳图片来调整,调整后保持宽高比例不变,不要上下或左右单方向拉伸图片。(3)示例 示例 1图 3-1 iOS 连连看游戏开始界面 示例 218图 3-2 扫雷游戏胜利界面 示例 3图 3-3 员工信息管理界面六、本规范自发布之日起实施。封面本科毕业论文(设计)题 目 学生姓名 专业班级 学 号 院 (系) 指导教师(职称/学位) *(讲师) 完成时间 _宋体,小初号加黑3 号黑体,论文题目不得超过 20 个汉字宋体二号加黑所填内容为黑体 3号,居中固定内容为宋体 3 号扉页:郑州升达经贸管理学院本科毕业论文(设计)题 目 学生姓名 专业班级 学 号 院 (系) 指导教师(职称/学位) *(讲师) 完成时间 _宋体,小初号加黑3 号黑体,论文题目不得超过 20 个汉字黑体字,1 号固定内容为宋体 3 号所填内容为黑体 3号,居中I空 1 行摘要空 1 行。空 1 行关键词:;论文题目,3 号黑体,分 1 行或 2 行居中打印小 3 号黑体小 4 号黑体 小 4 号宋体,关键词数量为 3 5个,中文分号中文小 4 号宋体,西文小 4 号 Times NewRoman,300 字左右加页码,罗马数字 III空 1 行ABSTRACT空 1 行。空 1 行KEY WORDS:;论文题目(英文),加粗 3 号,首字母大写 Times New Roman,居中,连词、介词、冠词等用全小写,分12 行居中打印加粗 4 号 Times New Roman,居中加粗小 4 号Times New Roman小 4 号小写,Times New Roman,专业词汇大写,以各个专业名词的通用格式为标准小 4 号小写 Times New Roman首行缩进 4 个英文字符加页码,罗马数字 IIIII目录(空一行)摘 要IABSTRACTII111.111.1.12285结 束 语60参考文献61致 谢62附 录63注:1、表示一个空格(一个汉字符位置) ,括号内的内容表示视论文而定的内容;2、目录行间距 1.5 倍;3 号黑体小 4 号黑体小 3 号黑体4 号黑体4 号黑体111.11.1.1企业集团的转移定价决策问题不仅为企业管理层所高度重视,同时也是学术界讨论的热门话题。Hirshleifer(1956) 1最先提出在确定性环境下当中间产品转移价格等于边际成本时,公司利润达到最大。Baldenius(1999) 2在考虑特定关系投资的前提下,提出了两部转移定价法。二级标题为 1.1,1.2,小 3 号黑体,左顶格三级标题为 1.1.1,1.1.2, 4 号黑体,左顶格卖出看跌 卖出看涨Longput optionLongcall option图 2-5期权的基本交付模式图中英文文字为 5 号Times New Roman图中中文文字为 5 号宋体图号按大标题加编,如图 2-5表示第 2 章中的第 5 个图。图题在图下,5 号宋体注:如果下面还有编号,可依次用(1),(2) ,(3) 和,。正文中具体对某个问题进行说明,但并不属于全文的整体编号时,使用第一,第二,第三进行分点说明(注意:文中编号不应该再使用 1.2.3格式序号) 。正文部分:小 4 号,中文宋体;英文和数字为 Times New Roman正文开始标注页码,小 5 号Times New Roman,位置居中一级标题,中英文均采用 3 号黑体,左顶格注:图的编号和标识之间空一格 文中参考文献的标注,需按数字顺序标注并与文后参考文献对应2)()(2)(1dNXedSctTr (2-7)表 6-1中外基金的规模比较( 1997 年值,单位:亿美元)品种数基金总资产(亿美元)基金资产占流通市值的比率(% )中国 75 16.91 0.38美国 16000 40000 49.5香港 1300 850 5数据来源:1996 年中国经济年鉴 ,1997 年中国统计年鉴公式序号右对齐,编号方法与图相同公式:公式另起一行居中打印表题在表的上方,居中,中文 5 号宋体,英文Times New Roman,与图的编号方法相同表 内 文 字 5 号 , 居中 , 中文 5 号宋体,英文 Times New Roman数据必须注明来源。表注居中,小 5号中文宋体,英文 Times New Roman3参考文献空 1 行1 Hirshleifer J. On the Economics of Transfer PricingJ. Journal of Business, 1956, 29(3): 172 -184.2 张永志.温室智能控制系统的设计与研究D.济南:山东农业大学硕士论文,2005:23-25.3 刘鹏.云计算(第三版)M.北京:电子工业出版社, 2015:40-45.4 门明.论期权与风险投资管理J.外经济贸易大学学报,1999,2:10-15.5 秦海波.“太阳”为何与微软打“世界官司”N. 经济日报,1999 年 5 月 27 日.6 唐晓强.中国通信产业研究.http:/www.drcnet.com/html-document/guoyan.注:(1 )按论文中参考文献出现的先后顺序用阿拉伯数字连续编号,并与文中的编号顺序相对应。 (2 )参考文献中每条项目应齐全。文献中的作者不超过三位时全部列出;超过三位时只列出前三位,后而加“等”字;作者姓名之间用逗号分开;中外人名一体采用姓在前,名在后的著录法。(3 ) M专著, C论文集, N报纸文章,J 期刊文章,D学位论文,R报告,S 标准,P 专利;对于不属于上述的文献类型,采用字母“Z”标识。小 3 号黑体,居中5 号宋 体 和 Times New Roman, 标 点 符 号 统一 为 英 文 符 号 , 若 中 文 书 名 和 论 文 题 名 中 有中 文 标 点 符 号 , 采 用 中 文 标 点 符 号网址标注到引用文章处4致谢空 1 行。(空一行)姓名年 月小 3 号黑体,居中小 4 号宋体小 4 号宋体,右对齐5附录注:论文的附录依次为附录1,附录2,编号。附录中的图表公式另编排序号,与正文分开。小 3 号黑体范文:郑州升达经贸管理学院本科毕业论文(设计)题 目 DDS 直接数字频率 合成器的设计 学生姓名 * 专业班级 20*级电子信息工程本科 1 班学 号 20*0582* 院 (系) 信息工程系 指导教师(职称/学位) 张三(副教授) 完成时间 20*年 5 月*日 IDDS 直接数字频率合成器的设计摘 要直接数字频率合成器(DDS)和数字信号处理器( DSP)样,是一种非常重要的现代化数字技术。它一般是要经过数字式的时间转换信号再通过执行数模转换产生正弦波。本文第一部分介绍了 DDS 的国内外现状和课题提出的背景及设计目标。第二部分分析了 DDS 的工作原理及在其基本结构的基础上得出了它的实现方法。第三部分首先讲述了现场可编程门阵列(FPGA)的一些基本知识,介绍了DDS 的几个组成部分的基础上,然后应用 VerilogHDL 硬件描述语言对相位累加器模块、脉冲产生模块、ROM 查找表模块及 DDS 的顶层模块进行了设计及综合。最后,对所设计的 DDS 仿真验证,实现 DDS 的功能,并证明了以 FPGA为载体的 DDS 具有开发成本低、功耗低、分辨率高以及转换时间快等优点。关键词 :直接数字频率合成器;现场可编程门阵列;ROM 查找表;Verilog HDL IIDesign of DDS Direct Digital Frequency SynthesizerABSTRACTDirect digital frequency synthesizer (DDS) and digital signal processor (DSP), is a very important kind of modern digital technology. It is time to go through the digital signal conversion by performing a digital to analog converter to generate sine wave. The first part of this paper introduces the background and design objectives at home and abroad present situation and problem of DDS is proposed. The second part analyzes the basic structure and working principle of DDS based on that the implementation of it. The third part first tells the story of field programmable gate array (FPGA) some basic knowledge, introduces several parts of DDS based on then applied VerilogHDL hardware description language of the phase accumulator module, pulse generated module, Rom look up table module and DDS top-level module is designed and integrated. Finally, on the design of DDS simulation validation, DDS function, and prove that using FPGA as the carrier of DDS with low cost, low power consumption, high resolution and conversion time.KEY WORDS:DDS ;FPGA;ROM look-up table;VerilogHDL III目 录摘 要 .I ABSTRACT.II1 引言 .11.1 直接数字频率合成器 DDS 简介 .11.1.1 什么是 DDS.11.1.2 DDS 的应用 .11.2 课题的背景及国内外现状 .21.2.1 课题的背景 .21.2.2 DDS 的国内外现状 .21.3 课题的内容及设计目标 .31.3.1 课题的内容 .31.3.2 课题的设计目标 .32 DDS 的基本理论 .42.1 DDS 技术的工作原理和主要特点 .42.2 DDS 的结构 .52.2.1 相位累加器 .52.2.2 正弦查询表 ROM .62.2.3 数字模拟转换器 DAC.82.2.4 低通滤波器 .93 用 FPGA 实现 DDS 的设计 .103.1 FPGA 的简介 .103.1.1 FPGA 的基本结构和特点 .123.1.2 FPGA 开发流程 .13 IV3.2 硬件描述语言 HDL 简介 .153.3 开发工具介绍 .163.4 用 FPGA 实现 DDS 的设计 .173.4.1 相位累加器的设计 .183.4.2 相位抖动原理介绍 .213.4.3 地址转换器和数据转换器的设计 .213.4.4 ROM 表的设计 .223.5 仿真结果 .264 结束语 .28参考文献 .29致 谢 .30附 录 .30 11 引言1.1 直接数字频率合成器 DDS 的简介1.1.1 什么是 DDS直接数字频率合成器 DDS 是一种非常重要的现代化数字技术。DDS 是Direct Digital Synthesizer 的首字母,也就是直接数字频率合成器的英语简称。它通常是通过数字开关信号进行数字模拟转换器在大家都熟知的很多领域应用很普遍,是一种实现全数字技术关键设备 1。1.1.2 DDS 的应用(1)DDS 在雷达和电子对抗中的应用在现代电子战系统,让它在数字通讯体系中获得了很普遍的应用。(2)DDS 在仪器仪表中的应用在现代电子测量体系中,选用 DDS 任意波形信号发生器产生的是一种新的信号源,DDS 技术在仪器仪表方面有着很普遍的应用。1.2 课题的背景及国内外现状1.2.1 课题的背景电子体统性能的好坏基本上是由频率合成器的优劣决定,所以说它是电子系统的必不可少的东西。高速无线通信产业的发展,对频率合成器的要求越来越高,因为雷达系统,电子战系统,以及移动通信系统要求越来越高。所以现代频率合成技术也发展的越来越好 22 DDS 的基本理论DDS 有两个重要劣势:一是输出频谱中杂散比较多,另一个是输出频率低 5。输出频谱低主要是因为 DDS 工作频率的影响,随着微电子技术的发展,将逐步改善这个缺点。可是 DDS 输出谱中的杂散是它本身的工作方式决定的,也是DDS 所特有的,所以,明白杂散的分布和大小对设计 DDS 是非常重要的。2.1 DDS 技术的工作原理和主要特点 正弦输出 DDS 的原理框图 5如图 2-1 所示,接下来依次介绍。fo频率控制字 K n位mN 位相位累加器正弦查值表ROMD/A 转换器低通滤波器时钟频率 fc图 2-1 正弦输出 DDS 的原理图 33 用 FPGA 实现 DDS 的设计随着电子系统的快速发展越来越重要。3.1 FPGA 简介因为适合于高速运算技术的 DSP 和 ASIC(特定用途集成电路)和可编程的逻辑阵列在本质上都是串行处理器 8三种方案的比较如表 3-1 所示。表 3-1 三种方案比较功耗 尺寸 成本 现场可升级性 开发工具是否高效高速 DSP 非常高 中 中/高 易 是ASIC 中 大 高 无 中可编程的逻辑阵列低 小 中/低 易 否数据来源: 1998 年ACTS Software Radio Conf从上表可以看到可编程的逻辑阵列功耗低,尺寸小,成本低,无论从哪个方面来讲,它都是比较适合用于产品当中。以前的 FPGA,CPLD 的作用仅仅只是用来调试3.1.1 FPGA 的基本结构和特点随着微电子设计技术与工艺的发展,有 Xilinx、Altera、Lattic 等。FPGA 主要有六部分组成,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。 (1)可编程输入/输出单元 输入/输出单元简称 I/O 单元,还可以调整输出驱动电流的大小等。(2)基本可编程逻辑单元 FPGA 大都是基于 SRAM 工艺,而且查找表和寄存器的组合模式也不同。3.1.2 FPGA 的开发流程一般来说,完整的 FPGA 设计流程包括:电路设计与输入、功能仿真、综合、布局布线、布线后仿真、板级仿真验证等 10。 4(1)电路设计输入常用的电路设计输入方法有硬件描述语言(HDL ,Hardware Description Language) 、状态图与原理图输入等更有利于向 ASIC 的移植。(2)功能仿真电路设计完成后,加快设计进度。(4)实现与布局布线 实现是利用实现工具把逻辑映射到目标器件结构的资源中通常可分为如下五个步骤:转换:将多个设计文件进行转换合并到一个设计库文件中。映射:将网表中的逻辑门映射成物理元素,即把逻辑设计分割到构成FPGA 的可配置逻辑块、输入输出块及其它资源中的过程。在设计 DDS 的时候经常选用流水线结构目的就是能提高器件的速度。在设计过程中,以相位累加器的输出位是 32 位数,因为相位累加器的输出,因此采用流水线,四位超前进位加法器的主要源程序如下所示。gi=aialways(a or b or gnd or g or p )begincarrychain0=g0|p0for(j=4b1;j4;j=j+4b1)begincarrychainj=gj|pjsumj=pjcarrychainj-1;endcout=carrychain3;end 5用 VerilogHDL 编程语言和最低的固定流水线加法器阵列实现,在此调用了 8 位超前进位加法器,用 VerilogHDL 的结构描述方法实现,对应于用电路图输入逻辑。四个加法器是不相同的时钟控制,以此来完成流水线构造,它们各自加法器的和分别是 pipe1、pipe2、pipe3、pipe4;SYNCFREQ 是32 位频率控制字,gnd、pipec1、pipec2 、pipec3 它们都是加法器的输入进位,中间 gnd 完成相位累加器最低位的修改,c1、c2、c3、c4 是加法器的输出进位。 64 结束语DDS 技术作为一种新型的频率合技术,由于其具有频率分辨率高、切换速度快以及相位变化连续等优点,因此自从问世以来就受到了广泛的关注。特别是近几年来,DDS 技术的不断完善以及集成工艺水平的迅速提高加速了 DDS产品的发展速度,其工作频率在不断提高(目前已超过 1GHz)而输出信号频谱质量也在不改善。提高系统性能一直是本课题电路设计的重点和难点。这里一方面采用了一些电路优化设计方法,例如采用“流水线”技术提高相位累加器的速度;利用相位累加器最低位的修正提高输出频谱的质量等。结合实际电路,我们主要采用流水线结构、加法器最低位修正、三角近似压缩算法等,这些算法对信号频谱质量的改善和电路速度的提高起到很大用。但不容忽视的是,这些算法的引入在提高了系统的性能的同时,也加大了电路设计的复杂度,增加了硬件开销。通过实验表明,使用流水线结构加法器、加法器最低位修正和 ROM 压缩等技术,用 FPGA 设计的直接数字频率合成器在满足系统性能的条件下,可以减少芯片面积,提高器件的运行速度,从而降低其生产成本。而且有的问题需要进一步研究解决:如何降低 DDS 输出频谱的杂散,采用何种压缩方法进一步的减少 ROM 的容量,采用何种器件结构提高器件的运行速度,降低器件的成本。混合式频率合成器(如:DDS+DS 混合式、DDS+PLL 混合式)已经成为众多专家研究的主要方向。 7参考文献1 郭军朝,王森章.一种高速低功耗直接数字频率合成器的设计与实现M.微电子学,2004,10(5):572-574.2 安建平.DDS/PLL 频率合成技术的研究 D.北京理工大学博士学位论文,2005:23-25.3 D.A.Sutherland, R.A.Strauch, S.S.Wharfield. CMOS/SOS Frequency Synthesizer LSI Circuit for Spectrum CommunicationsJ. IEEE Solid State Circuit, 1984, 19(8):497-505.4 段传华,王建和,杜晋军.直接数字式频率合成器的原理及应用J.电讯技术. 1995,35(5),1-4. 8致 谢本设计 DDS 直接数字频率合成器设计的工作是在我的毕业导师 *老师的精心指导和悉心关怀下完成的,在我的学业和设计的工作中无不倾注着导师辛勤的汗水和心血。导师的严谨治学态度、渊博的知识、无私的奉贤精神使我深受的启迪。从尊敬的导师身上,我不仅学到了宽广扎实的专业知识,也学到了做人的道理。在此我要向我的导师致以最衷心的感谢和深深的敬意。在多年的学习生活中,还得到了许多学院领导、系领导和老师的热情关心和帮助。谢谢你们。在日常学习和生活中,班级的同学也给予了我很大帮助,也非常感谢。我也要感谢我的父母和亲人,他们在我的学业中给了我莫大的鼓励、关爱和支持。最后,向所有关心和帮助过我的领导、老师、同学和朋友表示由衷的谢意!衷心地感谢在百忙之中评阅我的设计和参加答辩的各位老师!*2017 年 5 月 9日程安排毕业论文(设计)工作日程安排时间 工作安排第七学期 1 周前 申报课题第七学期 3 周前 审定课题,审查指导教师资格第七学期 4 周前 向学生公布课题和指导教师,发“毕业论文(设计)指导书”第七学期 9 周前 信工系将“毕业论文(设计)情况一览表”交教务处。期中考试后第 10周毕业实习与毕业设计动员,指导教师与学生见面,发“毕业论文(设计)任务书” 。 第七学期第 10 周-13 周 毕业实习第七学期第 14 周 学生完成“开题报告、文献综述” 、 “英文翻译”提交导师。第七学期第 16 周 学生完成毕业设计题目总体设计方案并提交导师。第八学期第 1 周 学生将“郑州升达经贸管理学院毕业论文(设计)学生中期自查表”提交导师。第八学期第 10 周 学生完成设计(论文) ,指导教师评审,评阅人评审。第八学期第 12 周 系、教研室公布答辩安排并报教务处,组织专业和系答辩。推荐院级优秀毕业论文(设计) 。第八学期第 14 周 系整理毕业设计材料。第八学期第 16 周 教务处组织对毕业论文(设计)质量进行评估。放假前 系将“毕业论文(设计)工作总结报告表”交教务处
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 大学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!