半导体专业英语词汇

上传人:2127513****773577... 文档编号:68643922 上传时间:2022-04-03 格式:DOCX 页数:16 大小:34.06KB
返回 下载 相关 举报
半导体专业英语词汇_第1页
第1页 / 共16页
半导体专业英语词汇_第2页
第2页 / 共16页
半导体专业英语词汇_第3页
第3页 / 共16页
点击查看更多>>
资源描述
精选优质文档-倾情为你奉上半导体专业词汇1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD: (Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。 41. Computer-aided design(CAD):计算机辅助设计。 42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。 43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。 44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。 45. Correlation:相关性。 46. Cp:工艺能力,详见process capability。 47. Cpk:工艺能力指数,详见process capability index。 48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。 49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。 50. Defect density:缺陷密度。单位面积内的缺陷数。 51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。) 52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。 53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。 54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。 55. Depth of focus(DOF):焦深。 56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。 57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程) 58. developer:)显影设备; )显影液 59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源 60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。 61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。 62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。 63. dielectric:)介质,一种绝缘材料; )用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。 64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。 65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。 66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。 67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。 68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。 69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。 70. epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导 体材料,这一单晶半导体层即为外延层。 71. equipment downtime:设备状态异常以及不能完成预定功能的时间。 72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。 73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。 74. fab:常指半导体生产的制造工厂。 75. feature size:特征尺寸,指单个图形的最小物理尺寸。 76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。 77. film:薄膜,圆片上的一层或多层迭加的物质。 78. flat:平边 79. flatband capacitanse:平带电容 80. flatband voltage:平带电压 81. flow coefficicent:流动系数 82. flow velocity:流速计 83. flow volume:流量计 84. flux:单位时间内流过给定面积的颗粒数 85. forbidden energy gap:禁带 86. four-point probe:四点探针台 87. functional area:功能区 88. gate oxide:栅氧 89. glass transition temperature:玻璃态转换温度 90. gowning:净化服 91. gray area:灰区 92. grazing incidence interferometer:切线入射干涉仪 93. hard bake:后烘 94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法 95. high-current implanter:束电流大于3ma的注入方式,用于批量生产 96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒 97. host:主机 98. hot carriers:热载流子 99. hydrophilic:亲水性 100. hydrophobic:疏水性 101. impurity:杂质 102. inductive coupled plasma(ICP):感应等离子体 103. inert gas:惰性气体 104. initial oxide:一氧 105. insulator:绝缘 106. isolated line:隔离线 107. implant : 注入 108. impurity n : 掺杂 109. junction : 结 110. junction spiking n :铝穿刺 111. kerf :划片槽 112. landing pad n :PAD 113. lithography n 制版 114. maintainability, equipment : 设备产能 115. maintenance n :保养 116. majority carrier n :多数载流子 117. masks, device series of n : 一成套光刻版 118. material n :原料 119. matrix n 1 :矩阵 120. mean n : 平均值 121. measured leak rate n :测得漏率 122. median n :中间值 123. memory n : 记忆体 124. metal n :金属 125. nanometer (nm) n :纳米 126. nanosecond (ns) n :纳秒 127. nitride etch n :氮化物刻蚀 128. nitrogen (N2 ) n: 氮气,一种双原子气体 129. n-type adj :n型 130. ohms per square n:欧姆每平方: 方块电阻 131. orientation n: 晶向,一组晶列所指的方向 132. overlap n : 交迭区 133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应 134. phosphorus (P) n :磷 ,一种有毒的非金属元素 135. photomask n :光刻版,用于光刻的版 136. photomask, negative n:反刻 137. images:去掉图形区域的版 138. photomask, positive n:正刻 139. pilot n :先行批,用以验证该工艺是否符合规格的片子 140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体 141. plasma-enhanced chemical vapor deposition (PECVD) n: 等离子体化学气相淀积,低温条件下的等离子淀积工艺 142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺 143. pn junction n:pn结 144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠 145. polarization n:偏振,描述电磁波下电场矢量方向的术语 146. polycide n:多晶硅 /金属硅化物, 解决高阻的复合栅结构 147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(5E19)的硅,能导电。 148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象 149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。 150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。 151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩 膜版,从而使对应的光刻胶暴光。 152. pure water n : 纯水。半导体生产中所用之水。 153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。 154. quartz carrier n :石英舟。 155. random access memory (RAM) n :随机存储器。 156. random logic device n :随机逻辑器件。 157. rapid thermal processing (RTP) n :快速热处理(RTP)。 158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。 159. reactor n :反应腔。反应进行的密封隔离腔。 160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。 161. resist n :光刻胶。 162. scanning electron microscope (SEM) n :电子显微镜(SEM)。 163. scheduled downtime n : (设备)预定停工时间。 164. Schottky barrier diodes n :肖特基二极管。 165. scribe line n :划片槽。 166. sacrificial etchback n :牺牲腐蚀。 167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。 168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。 169. side load: 边缘载荷,被弯曲后产生的应力。 170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片 171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。 172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。 173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。 174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。 175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。 176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。 177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。 178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚 到达特定地带的那个时刻之间的时间。 179. stepper: 步进光刻机(按BLOCK来曝光) 180. stress test: 应力测试,包括特定的电压、温度、湿度条件。 181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。 182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。 183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。 184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。 185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。 186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。 187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。 188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。 189. titanium(Ti): 钛。 190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。 191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。 192. tungsten(W): 钨。 193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。 194. tinning: 金属性表面覆盖焊点的薄层。 195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。 196. watt(W): 瓦。能量单位。 197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。 198. wafer process chamber(WPC): 对晶片进行工艺的腔体。 199. well: 阱。 200. wet chemical etch: 湿法化学腐蚀。 201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。 202. via: 通孔。使隔着电介质的上下两层金属实现电连接。 203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。 204. torr : 托。压力的单位。 205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。 206. vacuum: 真空。 207. transition metals: 过渡金属 Yield 良率 Parameter参数 PAC感光化合物 ASIC特殊应用集成电路 Solvent 溶剂 Carbide碳 Refractive折射 Expansion膨胀 Strip 湿式刻蚀法的一种 TM: top mental 顶层金属层 WEE 周边曝光 PSG 硼硅玻璃 MFG 制造部 Runcard 运作卡 POD 装晶舟和晶片的盒子 Scratch 刮伤 Reticle 光罩 Sputter 溅射 Spin 旋转 Merge 合并 A/D 军 Analog.Digital, 模拟/数字 AC Magnitude 交流幅度 AC Phase 交流相位 Accuracy 精度 Activity Model Activity Model 活动模型 Additive Process 加成工艺 Adhesion 附着力 Aggressor 干扰源 Analog Source 模拟源 AOI,Automated Optical Inspection 自动光学检查 Assembly Variant 不同的装配版本输出 Attributes 属性 AXI,Automated X-ray Inspection 自动X光检查 BIST,Built-in Self Test 内建的自测试 Bus Route 总线布线 Circuit 电路基准 circuit diagram 电路图 Clementine 专用共形开线设计 Cluster Placement 簇布局 CM 合约制造商 Common Impedance 共模阻抗 Concurrent 并行设计 Constant Source 恒压源 Cooper Pour 智能覆铜 Crosstalk 串扰 CVT,Component Verification and Tracking 元件确认与跟踪 DC Magnitude 直流幅度 Delay 延时 Delays 延时 Design for Testing 可测试性设计 Designator 标识 DFC,Design for Cost 面向成本的设计 DFM,Design for Manufacturing 面向制造过程的设计 DFR,Design for Reliability 面向可靠性的设计 DFT,Design for Test 面向测试的设计 DFX,Design for X 面向产品的整个生命周期或某个环节的设计 DSM,Dynamic Setup Management 动态设定管理 Dynamic Route 动态布线 EDIF,The Electronic Design Interchange Format 电子设计交互格式 EIA,Electronic Industries Association 电子工业协会 Electro Dynamic Check 动态电性能分析 Electromagnetic Disturbance 电磁干扰 Electromagnetic Noise 电磁噪声 EMC,Elctromagnetic Compatibilt 电磁兼容 EMI,Electromagnetic Interference 电磁干扰 Emulation 硬件仿真 Engineering Change Order 原理图与PCB版图的自动对应修改 Ensemble 多层平面电磁场仿真 ESD 静电释放 Fall Time 下降时间 False Clocking 假时钟 FEP 氟化乙丙烯 FFT,Fast Fourier Transform 快速傅里叶变换 Float License 网络浮动 Frequency Domain 频域 Gaussian Distribution 高斯分布 Global flducial 板基准 Ground Bounce 地弹反射 GUI,Graphical User Interface 图形用户界面 Harmonica 射频微波电路仿真 HFSS 三维高频结构电磁场仿真 IBIS,Input/Output Buffer Information Specification 模型 ICAM,Integrated Computer Aided Manufacturing 在ECCE项目里就是指制作PCB IEEE,The Institute of Electrical and Electronic Engineers 国际电气和电子工程师协会 IGES,Initial Graphics Exchange Specification 三维立体几何模型和工程描述的标准 Image Fiducial 电路基准 Impedance 阻抗 In-Circuit-Test 在线测试 Initial Voltage 初始电压 Input Rise Time 输入跃升时间 IPC,The Institute for Packaging and Interconnect 封装与互连协会 IPO,Interactive Process Optimizaton 交互过程优化 ISO,The International Standards Organization 国际标准化组织 Jumper 跳线 Linear Design Suit 线性设计软件包 Local Fiducial 个别基准 manufacturing 制造业 MCMs,Multi-Chip Modules 多芯片组件 MDE,Maxwell Design Environment Nonlinear Design Suit 非线性设计软件包 ODB+ Open Data Base 公开数据库 OEM 原设备制造商 OLE Automation 目标连接与嵌入 On-line DRC 在线设计规则检查 Optimetrics 优化和参数扫描 Overshoot 过冲 Panel fiducial 板基准 PCB PC Board Layout Tools 电路板布局布线 PCB,Printed Circuit Board 印制电路板 Period 周期 Periodic Pulse Source 周期脉冲源 Physical Design Reuse 物理设计可重复 PI,Power Integrity 电源完整性 Piece-Wise-linear Source 分段线性源 Preview 输出预览 Pulse Width 脉冲宽度 Pulsed Voltage 脉冲电压 Quiescent Line 静态线 Radial Array Placement 极坐标方式的元件布局 Reflection 反射 Reuse 实现设计重用 Rise Time 上升时间 Rnging 振荡,信号的振铃 Rounding 环绕振荡 Rules Driven 规则驱动设计 Sax Basic Engine 设计系统中嵌入 SDE,Serenade Design Environment SDT,Schematic Design Tools 电路原理设计工具 Setting 设置 Settling Time 建立时间 Shape Base 以外形为基础的无网格布线 Shove 元器件的推挤布局 SI,Signal Integrity 信号完整性 Simulation 软件仿真 Sketch 草图法布线 Skew 偏移 Slew Rate 斜率 SPC,Statictical Process Control 统计过程控制 SPI,Signal-Power Integrity 将信号完整性和电源完整性集成于一体的分析工具 SPICE,Simulation Program with Integrated Circuit Emphasis 集成电路模拟的仿真程序 Split/Mixed Layer 多电源/地线的自动分隔 SSO 同步交换 STEP,Standard for the Exchange of Product Model Data Symphony 系统仿真 Time domain 时域 Timestep Setting 步进时间设置 UHDL,VHSIC Hardware Description Language 硬件描述语言 Undershoot 下冲 Uniform Distribution 均匀分布 Variant 派生 VIA-Vendor Integration Alliance 程序框架联盟 Victim 被干扰对象 Virtual System Prototype 虚拟系统原型 VST,Verfication and Simulation Tools 验证和仿真工具 Wizard 智能建库工具,向导 2. 专业术语 术语 英文意义 中文解释 LCD Liquid Crystal Display 液晶显示 LCM Liquid Crystal Module 液晶模块 TN Twisted Nematic 扭曲向列。液晶分子的扭曲取向偏转90度 STN Super Twisted Nematic 超级扭曲向列。约180270度扭曲向列 FSTN Formulated Super Twisted Nematic 格式化超级扭曲向列。一层光程补偿偏甲于STN,用于单色显示 TFT Thin Film Transistor 薄膜晶体管 Backlight - 背光 Inverter - 逆变器 OSD On Screen Display 在屏上显示 DVI Digital Visual Interface (VGA)数字接口 TMDS Transition Minimized Differential Singnaling LVDS Low Voltage Differential Signaling 低压差分信号 Panelink - IC Integrate Circuit 集成电路 TCP Tape Carrier Package 柔性线路板 COB Chip On Board 通过绑定将IC裸偏固定于印刷线路板上 COF Chip On FPC 将IC固定于柔性线路板上 COG Chip On Glass 将芯偏固定于玻璃上 Duty - 占空比,高出点亮的阀值电压的部分在一个周期中所占的比率 LED Light Emitting Diode 发光二极管 EL Elextro Luminescence 电致发光。EL层由高分子量薄片构成 CCFL(CCFT) Cold Cathode Fluorescent Light/Tude 冷阴极荧光灯 PDP Plasma Display Panel 等离子显示屏 CRT Cathode Radial Tude 阴极射线管 VGA Video Graphic Anay 视频图形陈列 PCB Printed Circuit Board 印刷电路板 Composite video - 复合视频 component video - 分量视频 S-video - S端子,与复合视频信号比,将对比和颜色分离传输 NTSC National Television Systems Committee NTSC制式。全国电视系统委员会制式 Phase Alrernating Line PAL制式(逐行倒相制式) SEquential Couleur Avec Memoire SECAM制式(顺序与存储彩色电视系统) Video On Demand 视频点播 DPI Dot Per Inch 点每英寸 3. A.M.U 原子质量数 4. ADI After develop inspection显影后检视 5. AEI 蚀科后检查 6. Alignment 排成一直线,对平 7. Alloy 融合:电压与电流成线性关系,降低接触的阻值 8. ARC: anti-reflect coating 防反射层 9. ASHER: 一种干法刻蚀方式 10. ASI 光阻去除后检查 11. Backside 晶片背面 12. Backside Etch 背面蚀刻 13. Beam-Current 电子束电流 14. BPSG: 含有硼磷的硅玻璃 15. Break 中断,stepper机台内中途停止键 16. Cassette 装晶片的晶舟 17. CD:critical dimension 关键性尺寸 18. Chamber 反应室 19. Chart 图表 20. Child lot 子批 21. Chip (die) 晶粒 22. CMP 化学机械研磨 23. Coater 光阻覆盖(机台) 24. Coating 涂布,光阻覆盖 25. Contact Hole 接触窗 26. Control Wafer 控片 27. Critical layer 重要层 28. CVD 化学气相淀积 29. Cycle time 生产周期 30. Defect 缺陷 31. DEP: deposit 淀积 32. Descum 预处理 33. Developer 显影液;显影(机台) 34. Development 显影 35. DG: dual gate 双门 36. DI water 去离子水 37. Diffusion 扩散 38. Doping 掺杂 39. Dose 剂量 40. Downgrade 降级 41. DRC: design rule check 设计规则检查 42. Dry Clean 干洗 43. Due date 交期 44. Dummy wafer 挡片 45. E/R: etch rate 蚀刻速率 46. EE 设备工程师 47. End Point 蚀刻终点 48. ESD: electrostatic discharge/electrostatic damage 静电离子损伤 49. ET: etch 蚀刻 50. Exhaust 排气(将管路中的空气排除) 51. Exposure 曝光 52. FAB 工厂 53. FIB: focused ion beam 聚焦离子束 54. Field Oxide 场氧化层 55. Flatness 平坦度 56. Focus 焦距 57. Foundry 代工 58. FSG: 含有氟的硅玻璃 59. Furnace 炉管 60. GOI: gate oxide integrity 门氧化层完整性 61. H.M.D.S Hexamethyldisilazane,经去水烘烤的晶片,将涂上一层增加光阻与晶片表面附着力的化合物,称H.M.D.S 62. HCI: hot carrier injection 热载流子注入 63. HDP:high density plasma 高密度等离子体 64. High-Voltage 高压 65. Hot bake 烘烤 66. ID 辨认,鉴定 67. Implant 植入 68. Layer 层次 69. LDD: lightly doped drain 轻掺杂漏 70. Local defocus 局部失焦因机台或晶片造成之脏污 71. LOCOS: local oxidation of silicon 局部氧化 72. Loop 巡路 73. Lot 批 74. Mask (reticle) 光罩 75. Merge 合并 76. Metal Via 金属接触窗 77. MFG 制造部 78. Mid-Current 中电流 79. Module 部门 80. NIT: Si3N4 氮化硅 81. Non-critical 非重要 82. NP: n-doped plus(N+) N型重掺杂 83. NW: n-doped well N阱 84. OD: oxide definition 定义氧化层 85. OM: optic microscope 光学显微镜 86. OOC 超出控制界线 87. OOS 超出规格界线 88. Over Etch 过蚀刻 89. Over flow 溢出 90. Overlay 测量前层与本层之间曝光的准确度 91. OX: SiO2 二氧化硅 92. P.R. Photo resisit 光阻 93. P1: poly 多晶硅 94. PA; passivation 钝化层 95. Parent lot 母批 96. Particle 含尘量/微尘粒子 97. PE: 1. process engineer; 2. plasma enhance 1、工艺工程师 2、等离子体增强 98. PH: photo 黄光或微影 99. Pilot 实验的 100. Plasma 电浆 101. Pod 装晶舟与晶片的盒子 102. Polymer 聚合物 103. POR Process of record 104. PP: p-doped plus(P+) P型重掺杂 105. PR: photo resist 光阻 106. PVD 物理气相淀积 107. PW: p-doped well P阱 108. Queue time 等待时间 109. R/C: runcard 运作卡 110. Recipe 程式 111. Release 放行 112. Resistance 电阻 113. Reticle 光罩 114. RF 射频 115. RM: remove. 消除 116. Rotation 旋转 117. RTA: rapid thermal anneal 迅速热退火 118. RTP: rapid thermal process 迅速热处理 119. SA: salicide 硅化金属 120. SAB: salicide block 硅化金属阻止区 121. SAC: sacrifice layer 牺牲层 122. Scratch 刮伤 123. Selectivity 选择比 124. SEM:scanning electron microscope 扫描式电子显微镜 125. Slot 槽位 126. Source-Head 离子源 127. SPC 制程统计管制 128. Spin 旋转 129. Spin Dry 旋干 130. Sputter 溅射 131. SRO: Si rich oxide 富氧硅 132. Stocker 仓储 133. Stress 内应力 134. STRIP: 一种湿法刻蚀方式 135. TEOS (CH3CH2O)4Si 四乙氧基硅烷/正硅酸四乙酯,常温下液态。作LPCVD /PECVD生长SiO2的原料。又指用TEOS生长得到的SiO2层。 136. Ti 钛 137. TiN 氮化钛 138. TM: top metal 顶层金属层 139. TOR Tool of record 140. Under Etch 蚀刻不足 141. USG: undoped 硅玻璃 142. W (Tungsten) 钨 143. WEE 周边曝光 144. mainframe 主机 145. cassette 晶片盒 146. amplifier 放大器 147. enclosure 外壳 148. wrench 扳手 149. swagelok 接头锁紧螺母 150. clamp 夹子 151. actuator激励 152. STI shallow trench isolantion 浅沟道隔离层 153. SAB 硅铝块 154. UBM球下金属层镀模工艺 155. RDL金属连线重排工艺 156. RIE reactinv ion etch 反应离子etch 157. ICP inductive couple plasma 感应等离子体 158. TFT thin film transistor 薄模晶体管 159. ALD atomic layer deposition 原子层淀积 160. BGA ball grid array 高脚封装 161. AAS atomic absorptions spectroscopy 原子吸附光谱 162. AFM atomic force microscopy 原子力显微 163. ASIC 特定用途集成电路 164. ATE 自动检测设备 165. SIP self-ionized plasma 自电离电浆 166. IGBT 绝缘门双极晶体管 167. PMD premetal dielectric 电容 168. TCU temperature control unit 温度控制设备 169. arc chamber 起弧室 170. vaporizer 蒸发器 171. filament 灯丝 172. repeller 反射板 173. ELS extended life source 高寿命离子源 174. analyzer magnet 磁分析器 175. post accel 后加速器 176. quad rupole lens 磁聚焦透镜 177. disk/flag faraday 束流测量器 178. e-shower 中性化电子子发生器 179. extrantion electrode 高压吸极 180. disk 靶盘 181. rotary drive 旋转运动 182. liner drive 直线往复运动 183. gyro drive 两方向偏转 184. flat aligener 平边检测器 185. loadlock valve 靶盘腔装片阀 186. reservoir 水槽 187. string filter 过滤器 188. DI filter 离子交换器 189. chiller 制冷机 190. heat exchange 热交换机CVD 晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室 答:由于微小的粒子就能引起电子组件与电路的缺陷 何谓半导体? 答:半导体材料的电传特性介于良导体如金属(铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。 常用的半导体材料为何 答:硅(Si)、锗(Ge)和砷化家(AsGa) 何谓VLSI 答:VLSI(Very Large Scale Integration)超大规模集成电路 在半导体工业中,作为绝缘层材料通常称什幺 答:介电质(Dielectric) 薄膜区机台主要的功能为何 答:沉积介电质层及金属层 何谓CVD(Chemical Vapor Dep.) 答:CVD是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程 CVD分那几种? 答:PE-CVD(电浆增强型)及Thermal-CVD(热耦式) 为什幺要用铝铜(AlCu)合金作导线? 答:良好的导体仅次于铜 介电材料的作用为何? 答:做为金属层之间的隔离 何谓PMD(Pre-Metal Dielectric) 答:称为金属沉积前的介电质层,其界于多晶硅与第一个金属层的介电质 何谓IMD(Inter-Metal Dielectric) 答:金属层间介电质层。 何谓USG? 答:未掺杂的硅玻璃(Undoped Silicate Glass) 何谓FSG? 答:掺杂氟的硅玻璃(Fluorinated Silicate Glass) 何谓BPSG? 答:掺杂硼磷的硅玻璃(Borophosphosilicate glass) 何谓TEOS? 答:Tetraethoxysilane用途为沉积二氧化硅 TEOS在常温时是以何种形态存在? 答:液体 二氧化硅其K值为3.9表示何义 答:表示二氧化硅的介电质常数为真空的3.9倍 氟在CVD的工艺上,有何应用 答:作为清洁反应室(Chamber)用之化学气体 简述Endpoint detector之作用原理. 答:clean制程时,利用生成物或反应物浓度的变化,因其特定波长光线被 detector 侦测到强度变强或变弱,当超过某一设定强度时,即定义制程结束而该点为endpoint. 机台使用的管件材料主要有那些? 答:有不锈钢制(Stainless Steal),黄铜制(Brass),塑胶制(PVC),特氟隆制(Teflon)四种. 机器维修时要放置停机维修告示牌目的为何? 答:告知所有的人勿操作机台,避免危险 机台维修至少两人配合,有何目的? 答:帮忙拆卸重物,并随时警戒可能的意外发生 更换过任何气体管路上的零件之后,一定要做何动作? 答:用氦气测漏机来做测漏 维修尚未降至室温之反应室(Chamber),应配带何种手套 答:石棉材质之防热手套并宜在80摄式度下始可动作 何为真空(Vacuum)?半导体业常用真空单位是什幺? 答:半导体业通常用Torr作为真空的压力单位,一大气压相当760Torr,低于760Torr压力的环境称为真空. 真空Pump的作用? 答:降低反应室(Chamber)内的气体密度和压力 何谓内部连锁(Interlock) 答:机台上interlock有些属于保护操作人员的安全,有些属于水电气等规格讯号,用以保护机台. 机台设定许多interlock有何作用? 答:机台上interlock主要避免人员操作错误及防止不相关人员动作. Wafer Scrubber的功能为何? 答:移除芯片表面
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!