等精度数字频率计设计毕业设计论文

上传人:1666****666 文档编号:38610464 上传时间:2021-11-08 格式:DOC 页数:47 大小:1.02MB
返回 下载 相关 举报
等精度数字频率计设计毕业设计论文_第1页
第1页 / 共47页
等精度数字频率计设计毕业设计论文_第2页
第2页 / 共47页
等精度数字频率计设计毕业设计论文_第3页
第3页 / 共47页
点击查看更多>>
资源描述
东华理工大学毕业设计(论文) 摘要I 毕毕 业业 设设 计(论计(论 文)文)题目:等精度数字频率计的设计题目:等精度数字频率计的设计Title: Equal Precision Frequency MeterPlan毕业设计(论文)原创性声明和使用授权说明毕业设计(论文)原创性声明和使用授权说明原创性声明原创性声明本人郑重承诺:所呈交的毕业设计(论文) ,是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作 者 签 名: 日 期: 指导教师签名: 日期: 使用授权说明使用授权说明本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名: 日 期: 学位论文原创性声明学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文版权使用授权书学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权 大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期: 年 月 日导师签名: 日期: 年 月 日摘 要 频率检测是电子测量领域的最基本也是最重要的测量之一。频率信号抗干扰能力强、易于传输,可以获得较高的测量精度,所以测频率方法的研究越来越受到重视。本课题的等精度数字频率计设计,采用当今电子设计领域流行的EDA 技术,以 CPLD 为核心,配合 AT89C51 单片机,采用多周期同步测频原理,实现了 0.1Hz-50MHz 信号频率的等精度频率测量,此外,该系统还可以测方波信号宽度及高、低电平的占空比。基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于 CPLD 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 设计中用一块复杂可编程逻辑器件 CPLD(Complex Programmable Logic Device)芯片 EPM7128SLC84-15 完成各种时序逻辑控制、计数功能。在 Quartus II 平台上,用 VHDL 语言编程完成了 CPLD 的软件设计、编译、调试、仿真和下载。用AT89C51 单片机作为系统的主控部件,实现整个电路的测试信号控制、数据运算处理、键盘扫描和控制数码管的显示输出。系统将单片机 AT89C51 的控制灵活性及 CPLD 芯片的现场可编程性相结合,不但大大缩短了开发研制周期,而且使本系统具有结构紧凑、体积小,可靠性高,测频范围宽、精度高等优点。关键词关键词 等精度测量; 单片机; 频率计; 闸门时间东华理工大学毕业设计(论文) ABSTRACTIIABSTRACT In the field of electronic measurement, the frequency checking is one of mostfundamental and critically important measuring methods. Because frequency signal, whichis easily transported, has strong resistance to the disturbance and can be measured withhigh precision, research on the method by measuring frequency have more and moresignificance in the real application.Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the CPLD digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision. During the design, a chip EPM7128SLC84_1 S in CPLD fulfills timing logic control and count function. Under the flat of Quartus II,through VHDL language CPLD software design compilation debug, simulation and download can be carried out. By use of the AT89C51 single chip computer as the main controlling parts, the AT89C51 realizes test signal control keyboard scan and output display of LED. The system combines the control flexibility of AT89C51 with programmable performance of CPLD,so not only can it shorten the period of the development and research,but also has the advantages of compact structure little volume high reliability wide scope and high precision.Keywords: Precision survey; monolithic integrated circuit; frequency meter, strobe tim东华理工大学毕业设计(论文) 目录1目目 录录摘摘 要要.IABSTRACT.II第一章第一章 绪绪 论论.11.1 背景.11.2 研究内容及相关技术 .11.3 测量原理.2第二章第二章 总体设计思路总体设计思路.32.1 多周期同步测量方法 .32.2 等精度测量原理 .32.3 设计要求.6第三章第三章 硬件电路设计硬件电路设计.63.1 系统顶层电路设计 .63.2 设计总体思路及原理 .73.2.1 CPLD 的结构与功能介绍.73.3 等精度数字频率计项目设计方案 .73.3.1 等精度数字频率计的设计.73.3.2 等精度数字频率计主要由以下几个部分组成 .83.3.3 系统的基本工作方式如下.93.3.4 CPLD/FPGA 测频专用模块的 VHDL 程序设计 .93.4 单片机主控模块 .153.4.1 AT89C51 单片机性能.153.4.2 单片机控制电路.173.5 输入信号整形模块 .193.6 外围电路设计.193.6.1 键盘接口电路.193.6.2 显示电路.203.6.3 电源模块.213.6.4 其它电路.21第四章第四章 软件部分软件部分.224.1 QUARTUS II 概述.224.2 QUARTUS II 使用 VHDL 实现系统功能的全过程.234.2.1 电子系统的设计方法.234.2.2“自顶向下”与“自底向上”的设计方法.244.2.3 VHDL 语言简介.254.2.4 本系统 CPLD 模块的顶层设计.263.4 单片机的汇编语言编程 .26东华理工大学毕业设计(论文) 目录24.4.1 单片机主程序.264.4.2 测频、测周期、测脉宽及测占空比子程序.27第五章第五章 实验测试及误差分析实验测试及误差分析 .295.1 实验测试及误差分析 .295.1.I 实验测试的方法.295.1.2 系统的硬件验证.295.1.3 误差分析.29第六章第六章 实验仿真结果实验仿真结果.316.1 硬件试验情况.316.2 仿真结果.31第七章第七章 设计总结设计总结.33致致 谢谢.34附录附录 参考文献参考文献.35东华理工大学毕业设计(论文) 绪论1第一章第一章 绪绪 论论1.11.1 背景背景 频率的概念就是 1S 时间内被测信号的周期个数,最直接的测量方法就是单位时间内计数法,这种方法比较适合高频测量。低频通常用测周期法。这两种方法的测量精度不固定,与被测信号的范围相关。等精度频率测量法融合以上两种方法的优点,可兼顾低频与高频信号;但较以上两种方法而言,等精度频率测量有较高的测量精度,且误差不会随着被测信号频率的改变而改变。测频一直以来都是电子和通讯系统工作的重要手段之一。高精度的测频仪和频率发生器有着广泛的市场前景。以往的测频仪都是在低频段利用测周的方法、高频段用测频的方法,其精度往往会随着被测频率的下降而下降。该测频仪利用等精度的测频原理,保证了整个测试范围内恒定的测试精度。伴随着我国航空航天、电子、自动化测量、测控等领域的高速发展,对信号的测量也越来越多的,应用在以上的各个领域。而且随着小数点后面数字的不断增多,对被测信号的精度的要求也随之提高。等精度数字频率计就是为满足以上要求应运而生的高科技产物。1.21.2 研究内容及相关技术研究内容及相关技术CPLD 的原理、开发步骤基于 Quartus II 和 VHDL 的自顶向下,模块化的数字电子系统开发CPLD 与单片机、DSP 等器件的协作开发技术等精度数字频率计原理与设计 该测频系统的设计扬弃了传统的自下而上的数字电路设计方法,采用先进的 EDA 技术及自上而下的设计,把资源丰富、控制灵活及良好人机对话功能的 AT89C51 单片机和具有内部结构重组、现场可编程的 CPLD 芯片完美的相结合起来,实现了对 0. 1Hz-70MHz信号频率的等精度测量。由于 CPLD 具有连续连接结构,易于预测延时,使电路仿真会更加准确,且编程方便,速度快,集成度高,价格低,从而使系统研制周期大大缩短,产品的性能价格比较高。CPLD 芯片采用流行的 VHDL 语言编程,并在 Quartus II 设计平台上实现了全部编程设计,单片机采用底层汇编语言编程,可以精确地控制测频计数闸门的开东华理工大学毕业设计(论文) 绪论2启和关闭,从而进一步提高了测量精度。该数字频率计的设计及实现也具有良好的应用价值和推广前景。后面几章将对系统的软硬件设计进行详细论述。1.31.3 测量原理测量原理 传统的测频原理是在一定的时间间隔内测某个周期信号的重复变化次数 N,其频率可表示为 f=N/T,其原理框图见图 1-1。这种测量方式的精度随被测信号频率的变化而变化。脉脉冲冲形形成成电电路路闸闸门门电电路路计计数数译译码码器器门门控控电电路路时时基基信信号号发发生生器器图1-1 传统测频原理框图当方波预置门控信号由低变为高电平时,经整形后的被测信号上升一沿启动 D 触发器,由 D 触发器的 R 端同时启动可控计数器 CNT1 和 CNT2 同时计数,当预置门为低电平时,随后而至的被测信号使可控计数器同时关闭。设 FX 为整形后的被测信号,FS 为基准频率信号,若在一次预置门高电平脉宽时间内被测信号计数值为 Nx,基准频率计数值为 Ns,则有:FX= (FS/Ns) Nx东华理工大学毕业设计(论文) 总体设计思路 4第二章第二章 总体设计思路总体设计思路2.1 多周期同步测量方法等精度测量就是多周期同步测量法的一种衍生。多周期同步测量法是在直接测频的基础上发展起来的,在目前的测频系统中得到越来越广泛的应用。多周期同步测量原理框图如图 1-1 所示。首先被测信号 fx从输入通道进入闸门 A,标准信号 f0通过时基选择进入闸门 B,被测信号在同步逻辑控制电路的作用下,产生一个与被测信号同步的闸门信号。当实际闸门打开时间控制为 Tr时,即闸门 A、B 被同时打开 T 时间,这时,计数器 A 和计数器 B 同时分为对 fx和 f0的周期数进行累加计数。在 T 时间内,若计数器 A 的累计数为 Na,计数器 B 的累计数为 Nb,则Na=Tr*fx和 Nb=Tr*f0,因此可以计算出被测频率fx=f0(Na/Nb)。输输入入通通道道闸闸门门A A计计数数器器A A显显示示运运算算器器控控制制电电路路时时基基分分频频闸闸门门B B计计数数器器B Bf fx xf f0 0图 2-1 等精度测量原理图 由此可见,多周期同步法测频技术的实际闸门时间 Tr不是固定的值,而是被测信号周期的整数倍,计数器 A 的计数脉冲与闸门 A 的开、闭是完全同步的,因而不存在+1 个2.2 等精度测量原理 东华理工大学毕业设计(论文) 总体设计思路 4图 2-2 等精度数字频率计原理图 在图中,预置门控信号是宽度为 Tpr的一个脉冲,CNT1 和 CNT2 是两个可控的计数器。标准频率信号从 CNT1 的时钟输入端 CLK 输入,其频率为fs,经整形后的被测信号从 CNT2 的时钟输入端 CLR 输入,设其实际频率为fx。当预置门控信号为高时,经整形后的被测信号的上升沿通过 D 触发器的 Q 端同时启动计数器 CNT1 和 CNT2。 CNT1 和 CNT2 分别对被测信号(频率为fx)和标准频率信号(频率为fs)同时计数。当预置门信号为低电平时。随后而至的被测信号的上升沿将使两个计数器同时关闭。设在一次预置门时间Tpr内对被测信号的计数值为Nx,对标准信号的计数值为从,则下式成立:则计数结束后由 CNT1 和 CNT2 输出的计数值,根据上式的等精度测量公式即可计算出被测信号的频率。由上述可见,等精度测频法具有以下三个特点:(I)相对测量误差与被测频率的高低无关;(2)增大Tpr或fs可以增大Ns,减少测量误差,提高测量精度;(3)铡量精度与预置门宽度和标准频率有关,与被测信号的频率无关,在预置门和常规侧频闸门时间相同而被侧信号频率同的情况下,等精度测量法的测量精度不变。保证了测量的精度。东华理工大学毕业设计(论文) 硬件电路设计52.32.3 设计要求设计要求 (1) 对于频率测试功能,测频范围为 0.1 Hz50 MHz;对于测频精度,测频全域相对误差恒为百万分之一。 (2) 对于周期测试功能,信号测试范围与精度要求与测频功能相同。 (3) 对于脉宽测试功能,测试范围为 0.1 s1 s,测试精度为 0.01 s。(4) 对于占空比测试功能,测试精度为 1%99%。东华理工大学毕业设计(论文) 硬件电路设计6第三章第三章 硬件电路设计硬件电路设计3.13.1 系统顶层电路设计系统顶层电路设计等精度数字频率计涉及到的计算包括加、减、乘、除,耗用的资源比较大,用一般中小规模 CPLD/FPGA 芯片难以实现。因此,我们选择单片机和CPLD/FPGA 的结合来实现。电路系统原理框图如图 2-1 所示,其中单片机完成整个测量电路的测试控制、数据处理和显示输出;CPLD/FPGA 完成各种测试功能:键盘控制命令通过一片 74LS165 并入串出移位寄存器读入单片机,实现测频、测脉宽及测占空比等功能,单片机从 CPLD/FPGA 读回计数数据并进行运算,向显示电路输出测量结果:显示器电路采用七段 LED 动态显示,由 8 个芯片74LS164 分别驱动数码管。电电源源部部分分键键盘盘输输入入单单片片机机被被测测信信号号整整形形电电路路显显示示电电路路5 50 0M MH HZ Z标标准准频频率率时时钟钟电电路路C CP PL LD D芯芯片片自自校校输输入入图 3-1 系统顶层框图系统的基本工作方式如下:(1)P0 口是单片机与 CPLD 的数据传送通信口,P1 口用于键盘扫描,实现各测试功能的转换;P2 口为双向控制口。P3 口为 LED 的串行显示控制口。系统设置 5 个功能键:占空比、脉宽、周期、频率和复位。(2)显示电路由 8 个数码管组成:7 个 LED 数码管组成测量数据显示器,另一个独立的数码管用于状态显示。(3)测频标准频率 50MHz 信号由晶体振荡源电路提供。待测信号经放大整形后输入 CPLD/FPGA 的 TCLK。东华理工大学毕业设计(论文) 硬件电路设计73.23.2 设计总体思路及原理设计总体思路及原理3.2.13.2.1 CPLDCPLD 的结构与功能介绍的结构与功能介绍 可编程逻辑器件是 20 世纪 70 年代发展起来的一种新型逻辑器件,它是大规模集成电路技术的飞速发展与计算机辅助设计、计算机辅助生产和计算机辅助测试相结合的一种产物,是现代数字电子系统向超高集成度、超低功耗、超小封装和专用化方向发展的重要基础。它的应用和发展不仅简化了电路设计,降低了成本,提高了系统的可靠性和保密性,而且给数字系统的设计方法带来了革命性的变化。 该测频系统选用的 CPLD 器件是 ALTERA 公司所生产的 MAX 7000 系列中的EPM7128SLC84-15。它是在 ALTERA 公司的第二代 MAX 结构基础上,采用先进的氧化物半导体 EEPROM 技术制造的。可容纳各种各样、独立的组合逻辑和时序逻辑函数。可以快速而有效的重新编程,并保证可编程擦除 100 次。EPM7128SLC84-15 包含 128 个宏单元,每 16 个宏单元组成一个逻辑阵列块,同时,每个宏单元有一个可编程的“与”阵和固定的“或”阵,以及一个具有独立可编程时钟、时钟使能、清除和置位功能的可配置触发器。 EPM7128SLC84-15 的结构框图中逻辑阵列块(LAB)由 16 个宏单元(Macrocells)阵列组成,多个逻辑阵列块通过可编程互连阵列(PTA)互相连按;宏单元(Macrocells)由逻辑阵列、乘积项选择阵列和可编程寄存器等 3 个功能模块组成:可编程互连阵列(PTA)是一种可编程全局总线,连接着器件中的任何曰信号起源和信号目的地,使信号可以通过整个器件,且 PTA 消除了信号之间的时间偏移,有固定的延时,使时间性能容易预测;I/0 控制块(I/0 Control Block)允许每一个 1/0 管脚可以被单独的配置为输入、输出、双向管脚,且所有工/0 引脚都有一个三态缓冲器。3.33.3 等精度数字频率计项目设计方案等精度数字频率计项目设计方案3.3.13.3.1 等精度数字频率计的等精度数字频率计的设计设计等精度数字频率计涉及到的计算包括加、减、乘、除,耗用的资源比较大,用一般中小规模 CPLD/FPGA 芯片难以实现。因此,我们选择单片机和CPLD/FPGA 的结合来实现。电路系统原理框图如图 3-3 所示,其中单片机完成整个测量电路的测试控制、数据处理和显示输出;CPLD/FPGA 完成各种测试功能;键盘信号由 AT89C51 单片机进行处理,它从 CPLD/FPGA 读回计数数据东华理工大学毕业设计(论文) 硬件电路设计8并进行运算,向显示电路输出测量结果;显示器电路采用七段 LED 动态显示,由 8 个芯片 74LS164 分别驱动数码管。等精度频率计测试模块等精度频率计测试模块 DJDPLJ.VHDLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DJDPLJ IS PORT(CHEKF, FINPUT, CHOICE: IN STD_LOGIC; START, CLRTRIG, FSTD, TF: IN STD_LOGIC; SEL: IN STD_LOGIC_VECTOR(2 DOWNTO 0); OO: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); EEND: OUT STD_LOGIC; -CPBZ ENDD: OUT STD_LOGIC); END ENTITY DJDPLJ; ARCHITECTURE ART OF DJDPLJ IS COMPONENT FIN IS -自校/测试频率选择模块例化PORT(CHKF, FIN, CHOIS: IN STD_LOGIC; FOUT: OUT STD_LOGIC); END COMPONENT FIN; COMPONENT CONTRL IS -测频、周期控制模块例化PORT(FIN, START, CLR, FSD: IN STD_LOGIC; CLK1,EEND, CLK2, CLRC: OUT STD_LOGIC); END COMPONENT CONTRL; 3.3.23.3.2 等精度数字频率计主要由以下几个部分组成等精度数字频率计主要由以下几个部分组成(1)信号整形电路。用于对待侧信号进行放大和整形,以便作为 PLD 器件的属于信号。(2)测频电路。测频电路是测频的核心电路模块,可以由 FPGS 等 PLD 器件担任。(3)单片机电路模块。用于控制 FPGA 的测频操作和读取测频数据,并作出相应数据处理。安排单片机的 P0 口直接读取测试数据,P2 口向 FPGA 发控制命令。(4)100MHZ 的标准频率信号源。本模块采用高频稳定度和高精度度的晶振作为标准频率发生器,产生 100MHZ 的标准频率信号直接进入 FPGA。东华理工大学毕业设计(论文) 硬件电路设计9(5)键盘模块。可以用 5 个键执行测试控制,一个是复位键,其余是命令键。(6)数码显示模块。可以用 7 个数码管显示测试结果,最高可表达百万分之一的精度。考虑到提高单片机 I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。3.3.33.3.3 系统的基本工作方式如下系统的基本工作方式如下(1) P0 口是单片机与 FPGA 的数据传送通信口,P1 口用于键盘扫描,实现各测试功能的转换;P2 口为双向控制口。P3 口为 LED 的串行显示控制口。系统设置 5 个功能键:占空比、脉宽、周期、频率和复位。(2) 7 个 LED 数码管组成测量数据显示器,另一个独立的数码管用于状态显示。(3) BCLK 为测频标准频率 50 MHz 信号输入端,由晶体振荡源电路提供。 (4)待测信号经放大整形后输入 CPLD/FPGA 的 TCLK。3.3.43.3.4 CPLD/FPGACPLD/FPGA 测频专用模块的测频专用模块的 VHDLVHDL 程序设计程序设计利用 VHDL 设计的测频模块逻辑结构如图 2-3 所示,其中有关的接口信号规定如下:(1) TF(P2.7):TF=0 时等精度测频;TF=1 时测脉宽。 (2) CLR/TRIG(P2.6):当 TF=0 时系统全清零功能;当 TF=1 时 CLRTRIG的上跳沿将启动 CNT2,进行脉宽测试计数。 (3) ENDD(P2.4):脉宽计数结束状态信号,ENDD=1 计数结束。 (4) CHOICE(P3.2):自校/测频选择,CHOICE=1 测频;CHOICE=0 自校。 (5) START(P2.5):当 TF=0 时,作为预置门闸,门宽可通过键盘由单片机控制,START=1 时预置门开;当 TF=1 时,START 有第二功能,此时,当START=0 时测负脉宽,当 START=1 时测正脉宽。利用此功能可分别获得脉宽和占空比数据。 (6) EEND(P2.3):等精度测频计数结束状态信号,EEND=0 时计数结束。 (7) SEL2.0(P2.2,P2.1,P2.0):计数值读出选通控制。东华理工大学毕业设计(论文) 硬件电路设计10CLKCLRQ31.0CNTinstFINSTARTCLRFSDCLK1EENDCLK2CLRCCONTRLinst1FINSTARTCLRENDDPULCONTRL2inst2CHKFFINCHOISFOUTFINinst4CLK2FSDCNLPULCLKOUTGATEinst5CLKCLRQ31.0CNTinst6VCCCHKFINPUTVCCFININPUTVCCCHOISINPUTVCCSTARTINPUTVCCCLRINPUTVCCFSDINPUTENDDOUTPUT007.0OUTPUTVCCCNLINPUT图 3-2 CPLD 测频专用模块1 测频/测周期的实现 (1) 令 TF=0,选择等精度测频,然后在 CONTRL 的 CLR 端加一正脉冲信号以完成测试电路状态的初始化。 (2) 由预置门控信号将 CONTRL 的 START 端置高电平,预置门开始定时,此时由被测信号的上沿打开计数器 CNT1 进行计数,同时使标准频率信号进入计数器 CNT2。 (3) 预置门定时结束信号把 CONTRL 的 START 端置为低电平(由单片机来完成),在被测信号的下一个脉冲的上沿到来时,CNT1 停止计数,同时关断CNT2 对 fs 的计数。 (4) 计数结束后,CONTRL 的 EEND 端将输出低电平来指示测量计数结束,单片机得到此信号后,即可利用 ADRC(P2.2)、ADRB(P2.1)、ADRA(P2.0)分别读回 CNT1 和 CNT2 的计数值,并根据等精度测量公式进行运算,计算出被测信号的频率或周期值。FOUT1CHKFCHOISFOUTFINFOUT2FOUT0图 3-3 测频模块逻辑图东华理工大学毕业设计(论文) 硬件电路设计11 DENAQPRECLRCLK20FINCLK1EENDCLK2STARTFSDCLRCLRCCLK10QQ1图 3-4 测频/测周期的实现电路图2 控制部件设计如图 3-5 所示,当 D 触发器的输入端 START 为高电平时,若 FIN 端来一个上升沿,则 Q 端变为高电平,导通 FINCLK1 和 FSDCLK2,同时 EEND 被置为高电平作为标志;当 D 触发器的输入端 START 为低电平时,若 FIN 端输入一个脉冲上沿,则 FINCLK1 与 FSDCLK2 的信号通道被切断。DENAQPRECLRCLK20FINCLK1EENDCLK2STARTFSDCLRCLRCCLK10QQ1图 3-5 测频与测周期控制部分电路3 计数部件设计图 3-6 计数部件模块图东华理工大学毕业设计(论文) 硬件电路设计12A31.0B31.0OUT31.0ADDERDQPREENACLRCLKCLRQ31.0CNT31.0Add032 h00000001 -图 3-7 计数部件电路原理图图 3-2 中的计数器 CNT1/CNT2 是 32 位二进制计数器,通过 DSEL 模块的控制单片机可分 4 次将其 32 位数据全部读出。计数模块计数模块 CNT.VHDLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT IS PORT(CLK, CLR: IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(31 DOWNTO 0); END ENTITY CNT; ARCHITECTURE ART OF CNT IS SIGNAL CNT: STD_LOGIC_VECTOR(31 DOWNTO 0); -定义 CNT 的数据类型 BEGIN PROCESS(CLK, CLR) ISBEGINIF CLR=1 THEN CNT=00000000000000000000000000000000; -利用 IF 语句确定 CNT 的数据ELSIF CLKEVENT AND CLK=1 THEN CNT=CNT+1; END IF; END PROCESS; Q=CNT; -将最后确定的 CNT 数据赋给 Q END ARCHITECTURE ART; 4 脉冲宽度测量和占空比测量模块设计 (1 1)脉冲宽度测量原理图)脉冲宽度测量原理图东华理工大学毕业设计(论文) 硬件电路设计13根据脉宽测量原理,设计如图 3-8(CONTRL2)所示的电路原理示意图01DENAQPRECLRA2.0B2.0OUTEQUALA2.0B2.0OUTEQUALDENAQPRECLRDENAQPRECLR111Equal11 h0 -3 h3 -A0QQ2FINSTARTCLRENDDPULF2QQ1Equal01 h0 -3 h2 -QQ3图 3-8 测脉宽、占空比控制模块原理图测脉宽、占空比控制模块测脉宽、占空比控制模块 CONTRL2.VHDLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CONTRL2 IS PORT (FIN, START, CLR: IN STD_LOGIC; ENDD, PUL: OUT STD_LOGIC); END ENTITY CONTRL2; ARCHITECTURE ART OF CONTRL2 IS SIGNAL QQ: STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL A0, B0, C0, F2: STD_LOGIC; SIGNAL S: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN S(0)=QQ(3); S(1)=QQ(2); PROCESS(START, S) ISBEGIN IF START=1 THEN F2=FIN; -确定 F2 的数据 ELSE F2=NOT FIN; END IF; IF S=2 THEN PUL=1; -如果 S=2 则 PUL 输出高电平ELSE PUL=0; -否则 PUL 输出低电平END IF; 东华理工大学毕业设计(论文) 硬件电路设计14IF S=3 THEN ENDD=1; -如果 S=3 则 ENDD 输出高电平ELSE ENDD=0; -否则 ENDD 输出低电平END IF; END PROCESS; A0=F2 AND QQ(1); -将 F2 和 QQ(1)的数据相与再赋给 A0 B0=NOT A0; -将 A0 的数据取反赋给 B0 C0=NOT F2; -将 F2 的数据取反赋给 C0 PROCESS(C0, CLR) ISBEGINIF CLR=1 THEN QQ(1)=0; ELSIF C0EVENT AND C0=1 THEN QQ(1)=1; END IF; END PROCESS; PROCESS(A0, CLR) ISBEGINIF CLR=1 THEN QQ(2)=0; -如果 CLR 输入低电平则 QQ(2)输出低电平ELSIF A0EVENT AND A0=1 THEN QQ(2)=1; END IF; END PROCESS; PROCESS(B0, CLR) IS BEGINIF CLR=1 THEN QQ(3)=0; -如果 CLR 输入高电平则 QQ(2)输出低电平ELSIF B0EVENT AND B0=1 THEN QQ(3)被测频率的不稳定性(如信号发生器在产生 1KHz 的频率时总是在 1KHz和 999Hz 上跳动)。 (2)单片机在产生门宽信号时其上升沿和下降沿的建立时间过长,每次产生的门宽信号不一样,造成计数结果的误差。 (3)由于该频率及采用 8 位 LED 显示,最高显示精度为百万分之一,其舍去位数仍有有效数字,所以会造成低于测量精度的显示误差。被测频率FINPUT标准频率FSD闸门时间TF被测频率计数值 NX标准频率计数值 NS测试频率1Hz50MHz10sA1C1FECF51.05964911Hz50MHz10sA1C1FECF01.05964911Hz50MHz10sA1C1FECF51.0596491122Hz50MHz1s7B2FA7CD6123.07288122Hz50MHz1s7B2FA7CD6123.07288122Hz50MHz1s7B2FA7CD6123.07288978Hz50MHz0.1s634C8FAF986.54350978Hz50MHz0.1s634C8FAF986.54335978Hz50MHz0.1s634C8FAF986.54335155Hz50MHz0.1s30ED4C706F125012.0155Hz50MHz0.1s30ED4C706F125021.98155Hz50MHz0.1s30ED4C706F125012.0250Hz50MHz0.1s61DA4C7137250014.00250Hz50MHz0.1s61DA4C7137250014.00250Hz50MHz0.1s61DA4C7138250013.97东华理工大学毕业设计(论文) 实验仿真结果31第六章第六章 实验仿真结果实验仿真结果6.16.1 硬件试验情况硬件试验情况本系统既含有 FPGA 自编程硬件设计电路,又含有单片机控制电路,整个系统比较复杂,因此我们采用自底向上的调试方法,也就是先进行各个单元电路的软件仿真和硬件调试,在各个单元电路调试好后再进行系统联调,最后进行硬件的编程固化及系统的组装。6.26.2 仿真结果仿真结果图 6-1 TOP令 TF=0,然后在 CONTRL 的 CLR 端加一正脉冲信号以完成测试电路状态的初始化。由预置门控信号将 CONTRL 的 START 端置高电平,预置门开始定时,此时由被测信号的上沿打开计数器 CNT1 进行计数,同时使标准频率信号进入计数器 CNT2。 预置门定时结束信号把 CONTRL 的 START 端置为低电平(由单片机来完成),在被测信号的下一个脉冲的上沿到来时,CNT1 停止计数,同时关断 CNT2 对 fs 的计数。计数结束后,CONTRL 的 EEND 端将输出低电平来指示测量计数结束,单片机得到此信号后,即可利用 ADRC(P2.2)、ADRB(P2.1)、ADRA(P2.0)分别读回 CNT1 和 CNT2 的计数值,并根据等精度测量公式进行运算,计算出被测信号的频率或周期值。东华理工大学毕业设计(论文) 实验仿真结果32图 6-2 计数模块 CNT图 6-3 测频、周期控制模块 CONTRL.VHD当 D 触发器的输入端 START 为高电平时,若 FIN 端来一个上升沿,则 Q端变为高电平,导通 FINCLK1 和 FSDCLK2,同时 EEND 被置为高电平作为标志;当 D 触发器的输入端 START 为低电平时,若 FIN 端输入一个脉冲上沿,则 FINCLK1 与 FSDCLK2 的信号通道被切断。图 6-4 测脉宽、占空比图 6-5 自校/测试频率选择模块图 6-6 计数器二频率切换模块东华理工大学毕业设计(论文) 设计总结 33第七章第七章 设计总结设计总结 该测频系统经过软硬件设计,经过实验仿真分析及验证,各项功能达到了预定的设计指标。在开发过程中,综合运用了 QuartusII, Studio,OrCAD 等开发工具,使用了 VHDL 及汇编语言,在论文写作及作图时还用到了 Word, Visio、画图等软件。 该测频系统的特点是克服了传统的测频法或测周法的不足,能在频率范围变化较大时,都有比较高的测量精度。具有以下突出特点: (1)在系统总体设计方面,充分利用单片机和 FPGA/CPLD 各自的优势,将测控的主体分配给 FPGA/CPLD,既可满足频测对速度方面的要求和多 I/0 口的要求,同时利用单片机具有良好的人机接口和控制运算的功能,可以较简单地实现键盘和显示控制以及数据处理运算。 (2)在频率测量方面,由于采用了等精度测频法,使该系统具有以下特点:相对测量误差与被测频率的高低无关;增大 Tpr或 fs可以增大 Ns,减少测量误差,提高测量精度;测量精度与预置门宽度和标准频率有关,与被测信号的频率无关,预置门和常规测频闸门时间相同而被测信号频率不同的情况下,等精度测量法的测量精度不变。 (3)在显示方面,首先采用串行动态显示,节约了 I/0 口,简化了驱动电路的设计。总之经过这次课程设计不仅对课本有深刻的了解,并且自己自学软件,不仅培养了自学能力,还能更好的运用,第一次做课程设计受益匪浅,也正是由于某种原因使自己更能充分利用时间与安排时间安排任务,不仅仅是做完了课程设计,他使我学会了抓住有限的时间来安排自己的任务去做好这个项目,给以后工作奠定了基础!最后感谢陈老师在此期间的帮助!东华理工大学毕业设计(论文) 致谢34致致 谢谢本课题从调研、开题到方案的设计实施,自始至终都是在导师陈坚老师的精心指导和周密安排下进行的,陈老师严谨治学的态度、实事求是的精神给我留下了深刻的印象。在课题的研究过程和论文的撰写过程中,陈老师也提出了许多建设性倾注了大量心血,在此向陈老师表示由衷的感谢!并对东华理工大学所有任课老师表示感谢,没有他们的辛勤付出就没有我们的成功与成才。东华理工大学毕业设计(论文) 附录 35附录附录 参考文献参考文献1 王金明.数字系统设计与 Verilog HDL.电子工业出版社.2010 年.1-3242 魏雄 王仁波 黄鑫.OrCAD 电路原理图设计入门与提高.西安电子科技大学出版社.2009 年.1-2083 周航慈 朱兆优 李跃忠.智能仪器原理与设计.北京航空航天大学出版社.2009 年.1-2504 张毅刚 彭喜元 董继成.单片机原理及应用.高等教育出版社.2009 年.1-4225 闫石.数字电子技术基础.高等教育出版社.2008 年.1-5996 曹玲芝 胡智宏.电子信息工程专业英语.华中科技大学出版社.2010 年.1-2177 James R. Armstrong F. Gall Gray. VHDL Design Representation and SynthesisSecond EditionM.机械工业出版社.2003 年 3 月8 Stefan Sjoholm lennart Lindh.VHDL for designerM.清华大学出版社.20019黄继武 杨宏伟 明锋.单片机 AT89C51 在 UHF 和 VHF 频率测量系统中应用的研究.武汉大学学报.2002 年 2 月.第 48 卷第 1 期:125-12810索南加乐 葛耀中 王安定一种不受电压过零点影响的新型频率测量方法.西安交通大学学报. 1995 年 3 月.第 29 卷第二期:84-9811Stefan Sjoholm Lennart Lindh 著,边计年,薛宏熙等译.VHDL 设计电子线路.清华大学出版社.2000 12 卢毅,赖杰.VHDL 与数字电路设计(第一版)M. 北京:北京科学出版社,2001 13 徐志军.大规模可编程逻辑器件及其应用(第一版)M. 成都:成都电子科技大学出版社,2004.2 14 赵曙光.可编程逻辑器件原理、开发与应用(第二版)M. 西安:西安电子科技大学出版社,2000.6 15武卫华,陈德宏.基于 EDA 技术的数字频率计芯片化的实现J. 成都:电子科技大学出版社,2004.(04) 16熊秋娥,熊英华.基于 VHDL 的数字频率计设计J.江苏:南通大学现代教育技术中心, 2007,()17武卫华,陈德宏.基于 EDA 的数字频率计的芯片化的实现J.哈尔滨:电测与仪表,2004,(03)18范秀娟, 彭斯福.EDA 技术的应用J. 电气时代, 1999, (10) 东华理工大学毕业设计(论文) 附录 3619康晓明, 蒋敦斌, 杨元良. 基于 EDA 系统的数字系统的设计分析J. 机电产品开发与创新, 2003, (03) 20 张文英, 邹晴, 柴燕.基于 VHDL 语言的数字电路的设计J. 中国仪器仪表, 2006, (03) 21潘松.VHDL 实用教程(第一版)M. 成都:成都电子科技大学出版社,2000东华理工大学毕业设计(论文) 附录二 37学位论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。学位论文作者(本人签名): 年 月 日学位论文出版授权书本人及导师完全同意 中国博士学位论文全文数据库出版章程 、 中国优秀硕士学位论文全文数据库出版章程 (以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社 ”在中国博士学位论文全文数据库 、中国优秀硕士学位论文全文数据库 中全文发表和以电子、网络形式公开出版,并同意编入C CN NK KI I中国知识资源总库 ,在中国博硕士学位论文评价数据库 中使用和在互联网上传播,同意按 “章程”规定享受相关权益。论文密级:论文密级:公开 保密(_年_月至_年_月)(保密的学位论文在解密后应遵守此协议)作者签名:_ 导师签名:_年_月_日 _年_月_日东华理工大学毕业设计(论文) 附录二 38独 创 声 明本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本声明的法律后果由本人承担。 作者签名: 二一年九月二十日 毕业设计(论文)使用授权声明本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。(保密论文在解密后遵守此规定) 作者签名: 二一年九月二十日东华理工大学毕业设计(论文) 附录二 39致 谢时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。最后,我要特别感谢我的导师赵达睿老师、和研究生助教熊伟丽老师。是他们在
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 其他分类


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!