微电脑控制药膳煲的控制部分设计 电子信息工程毕业设计

上传人:仙*** 文档编号:28146984 上传时间:2021-08-23 格式:DOC 页数:34 大小:873.88KB
返回 下载 相关 举报
微电脑控制药膳煲的控制部分设计 电子信息工程毕业设计_第1页
第1页 / 共34页
微电脑控制药膳煲的控制部分设计 电子信息工程毕业设计_第2页
第2页 / 共34页
微电脑控制药膳煲的控制部分设计 电子信息工程毕业设计_第3页
第3页 / 共34页
点击查看更多>>
资源描述
微电脑控制药膳煲的控制部分设计 电子信息工程专 业 摘要 近年来,随着国家政策法规的扶持,以及人们对生活质量的追求逐渐增高,以自然 疗法为特点的中医药文化受到越来越多人的关注,尤其是食疗养生,以其独特的优势在 人们的日常生活中逐渐占据了一定地位.这使得食具药膳煲逐渐成为家庭不可缺少的电器 设备. 由于用单片机设计的产品具有体积小、价格低、功能全、应用灵活等特点,所以 单片机得到了不断的向前发展. 本文主要研究用单片机技术设计一种药膳煲的定时控制,要求能达到产品规定的功 能.首先,介绍了药膳煲及单片机的概念和关键技术,说明了单片机技术在药膳煲控制系 统中的应用优势.其次,在分析药膳煲控制系统的特点与需求的基础上,设计一种微电脑 控制药膳煲的控制系统,然后分析了系统中使用的电源电路,复位电路,保护电路.最后 利用美国 ATMEL 公司生产的 AT89C52 单片机设计一个控制系统.实现的功能是:用单片 机电路和程序来控制烧煮的温度和时间,通过按键进入中断,有几档时间设定,工作状 态分为煲煮和保温,前后工作温度范围不同,模拟传统熬药过程中的大火加热与文火慢 煮,达到充分释放食材中药分的效果,同时数码管显示设定时间与剩余时间. 关键词:单片机;模块化 C 语言程序;温度控制;药膳煲 Abstract I ABSTRACT In recent years, with the support of national policies and regulations, as well as the people to the pursuit of quality of life is gradually increased, to the natural therapy for TCM culture characteristics are more and more peoples attention, especially diet regimen, with its unique advantages in peoples daily life gradually occupy a certain position. This makes the tableware medicated pot gradually become the electrical equipment family indispensable. Due to the use of single-chip design of product has the characteristics of small volume, low price, complete functions, flexible application, so the chip has been in constant development. This paper studies the timing control of the micro-controller technology to design a Diet pot, to achieve the products specified function. First of all, Diet pot and micro-controller concepts and key technologies, the application advantages of the single-chip technology in the Diet pot control system. Secondly, on the basis of analysis of the characteristics and needs of the Diet pot control system, the design of a microcomputer control Diet pot control system, focusing on the architecture of the system proposed key module, temperature detection module, digital display module, power module and The design requirements of the power device control module, and then analyze the power supply circuit used in the system, reset circuit, protection circuit. Finally, use the United States ATMEL AT89C52 micro-controller to design a control system. The functions are: single-chip circuit and procedures to control the cooking temperature and time, interrupt button to enter the file time setting work state is divided into the pot to cook and insulation, before and after the operating temperature range to simulate the traditional decoct medicinal herbs the fire in the process of heating and simmer, to achieve full release of the effect of the ingredients of Chinese medicine, digital tube display setting time and remaining time . Keywords: Micro-controller; modular C language program; temperature control; medicinal cooker 目录 目 录 第 1 章 绪论 .1 1.1 课题背景 .1 1.1.1 课题来源与意义.1 1.1.2 药膳煲的简介.1 1.2 研究内容 .1 1.3 拟采用的研究方法 .1 第 2 章 相关知识及原理介绍 .3 2.1 药膳煲工作原理 .3 2.2 单片机介绍 .3 2.3 单向可控硅介绍 .3 2.3.1 单向可控硅的工作原理.3 2.3.2 单向可控硅的使用注意事项.3 2.4 继电器介绍 .4 2.4.1 继电器的工作原理和特性.4 2.4.2 继电器的作用.4 2.4.3 继电器的技术参数.4 2.4.4 继电器的参数选择.5 2.4.5 继电器的测试点.5 2.5 74HC138 3-8 译码器介绍 .5 2.6 74HC245 8 位锁存器介绍 .6 2.7 三端稳压器 LM7805 介绍 .6 2.7.1 LM7805 在使用时的注意事项.6 2.7.2 LM7805 的引脚序号与引脚功能.7 2.8 电压比较器 LM393 介绍 .7 第 3 章 控制电路设计 .9 3.1 药膳煲的总电路设计方案 .9 3.2 各模块电路的设计实现 .9 目录 i 3.2.1 单片机及其外围电路.9 3.2.2 时间显示电路与数码管电路.10 3.2.3 按键电路.11 3.2.4 温度检测电路.12 3.2.5 讯响电路.13 3.2.6 加热电路与温度控制电路.14 3.2.7 电源电路.15 第 4 章 软件设计 .17 4.1 C 语言介绍 .17 4.2 软件编程构思 .17 4.3 药膳煲工作程序 .17 4.4 总程序框图 .18 4.5 C 语言控制程序部分 .18 第 5 章 结论与展望 .19 5.1 结论 .19 5.2 不足之处及未来展望 .19 5.3 个人体会与收获 .19 参考文献 .21 致 谢 .23 附录 A:设计原理图 .25 附录 B:C 语言程序 .26 微电脑控制药膳煲的控制部分设计 0 第 1 章 绪论 1.1 课题背景 1.1.1 课题来源与意义 市场上已有微电脑控制药膳煲的产品销售及功能介绍,本课题是根据微电脑控制药 膳煲的原理,选用 STC89C52 单片机(市场上的全自动药膳煲不使用该单片机,由于比 较熟悉 MCS-51 系列单片机,故选用该单片机)对药膳煲的控制电路及软件编程进行设计, 要求能达到产品规定的功能.微电脑控制药膳煲由单片机作为控制器,加上温度检测电路、 数码显示电路、电源电路及功率器件控制电路,控制程序用 C 语言编写. 1.1.2 药膳煲的简介 药膳起源于我国的中医食疗文化与传统饮食习俗.它是在烹饪学、中医药学等理论 指导下,采用我国独特的饮食烹调技术和现代科学方法,严谨依照配方,把中药与一些 有药用价值的食物互相混合制作而成的一种美食.它既将药物作为食物,又将食物赋以药 用,药借食力,食助药威,药食同源,相辅相成,相得益彰;药膳既具有较高的营养价 值,又可保健强身、延年益寿. 我国的中草药具有药性平和、副作用小、滋补性强等优点,已被国内外广大患者所 接受.近年来,随着国家政策法规的扶持,以及人们对生活质量的追求逐渐增高,以自然 疗法为特点的中医药文化受到越来越多人的关注,尤其是食疗养生,以其独特的优势在 人们的日常生活中逐渐占据了一定地位.然而中草药的煎熬是一件麻烦的事.这使得食具 药膳煲逐渐成为家庭不可缺少的电器设备. 1.2 研究内容 药膳煲的内部多采用微电脑控制电路,这使得改变药膳煲火候和煎煮时间程序十分 便捷,时间控制十分容易.许多硬件功能都可由软件取代,使电路及机械控制部分大大简 化.由单片微电脑控制的药膳煲除了功能齐全外,还有一个重要的特点就是各种功能及程 序进行过程中的各种状态都由显示器件显示出来.增加了这些显示器件后,除进一步完善 药膳煲的功能外,还能美化药膳煲的外观造型,为使用者的操作提供方便 1. 1.3 拟采用的研究方法 先复习单片机 MCS-51 系列的硬件构成及命令系统,分析研究微电脑控制药膳煲控制 电路的功能要求.再进行方案设计,包括组成电路、器件选择等.然后绘制出使用 STC89C52 单片机的控制电路图,进行软件框图设计和编写控制程序.要求电路设计和软 件编程均能达到微电脑控制药膳煲规定的功能要求. 微电脑控制药膳煲的控制部分设计 1 微电脑控制药膳煲的控制部分设计 2 第 2 章 相关知识及原理介绍 2.1 药膳煲工作原理 微电脑控制药膳煲是一种自动进行长时间煎药或煲汤的锅,上面是锅体,可以分离, 下面是加热及控制盘.加热功率为 300W,保温功率为 100W.用单片机电路和程序来控制 烧煮的温度和时间.烧煮时间可设定为 120 分钟、180 分钟或任意选择(在 60240 分钟之 间,为 30 分钟的倍数)三种方式.烧煮开始后,前一小时为达到沸腾和保持沸腾状态, 此后为文火加热状态,到达规定的烧煮时间后蜂鸣器会报警并自动进入保温状态.控制面 板上有 4 个功能选择按键(分别为:选择键、120 分键、180 分键和清零键) ,还有 6 位 数码管进行显示所设定的烧煮时间和剩余烧煮时间(以分钟为单位). 2.2 单片机介绍 单片机是在一个硅片上集成了中央处理器(CPU) 、只读存储器(ROM) 、随机存储 器(RAM)和各种输入/ 输出接口、定时器 /计数器、串行通信口以及中断系统等多种资源, 这样的一个集成电路就构成了一个完整的微型计算机.因为它的结构及功能是按照工业过 程设计的,所以单片机也被称为微控制器(Micro-controller) 2. 2.3 单向可控硅介绍 单向可控硅是一种可控整流电子元件,能在外部控制信号作用下由关断变为导通, 但一旦导通,外部信号就无法使其关断,只能靠去除负载或降低其两端电压使其关断.单 向可控硅是由三个 PN 结 PNPN 组成的四层三端半导 体器件.与具有一个 PN 结的二极管 相比,单向可控硅正向导通受控制极电流控制;与具有两个 PN 结的三极管相比,差别在 于可控硅对控制极电流没有放大作用. 2.3.1 单向可控硅的工作原理 可控硅关断的条件:降低或者去掉加在可控硅阳极和阴极之间的正向电压,使阳极 电流处于最小维持电流以下.可控硅导通的条件:一是可控硅阳极和阴极之间必须加正向 电压,二是控制极也需加正向电压.只有以上两个条件同时满足时,可控硅才会处于导通 状态.此外,可控硅一旦处于导通状态后,即使降低了控制极电压或者去掉控制极电压, 可控硅仍然导通. 2.3.2 单向可控硅的使用注意事项 选用可控硅的额定电压时,应参考实际工作条件下的峰值电压的大小,并留出一定 的余量. (1) 选用可控硅的额定电流时,除了考虑通过元件的平均电流外,还应注意正常工作 时导通角的大小、散热通风条件等因素.在工作中还应注意管壳温度不超过相应电流下的 允许值. (2) 使用可控硅之前,应该用万用表检查可控硅是否良好.发现有短路或断路现象时, 应立即更换. (3) 严禁用兆欧表(即摇表)检查元件的绝缘情况. (4) 电流为 5A 以上的可控硅要装散热器,并且保证所规定的冷却条件.为保证散热器 微电脑控制药膳煲的控制部分设计 3 与可控硅管心接触良好,它们之间应涂上一薄层有机硅油或硅脂,以帮助良好的散热. (5) 按规定对主电路中的可控硅采用过压及过流保护装置. (6) 要防止可控硅控制极的正向过载和反向击穿. 2.4 继电器介绍 继电器是一种自动和远距离操纵用的电器,广泛地应用于电力系统保护、生产过程 自动化及各类自动、远动、遥控、遥测和通信等自动化装置中,起着控制、检测、保护 和调节的作用,是现代电气自动化系统中最基本的器件之一.它的功能是当输入激励量达 到规定要求时,在电气输出电路中,被控参量发生预定阶跃的编号的一种自动电器.当输 入量(如电压、电流、温度等)达到规定值时,继电器被所控制的输出电路导通或断开 3. 输入量可分为电气量与非电气量两大类.其中电气量指电压、电流、功率、频率等, 非电气量指压力、温度、速度等. 由于继电器具有许多优点,例如工作稳定、动作迅速、使用时间长、体积小等,所 以被广泛应用于自动化、运动、电力保护、通信、遥控和测量等装置中. 2.4.1 继电器的工作原理和特性 电磁继电器一般由感应机构、变换机构、比较机构和执行机构组成.感应机构是线圈; 变换机构是电磁系统,包括铁心、衔铁、轭铁和线圈;比较机构是反力系统,主要包括 反力弹簧和簧片;执行机构是导电接触系统(主要指触点) ,多为簧片结构.只要在线圈 两端加上一定的电压,线圈中就会流过一定的电流 ,从而产生电磁效应,衔铁就会在电 磁力吸引的作用下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触点(常 开触点)吸合.当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回 原来的位置,使动触点与原来的静触点(常闭触点)释放.通过这样吸合、释放的过程, 从而达到了控制在电路中的导通、切断的目的.对于继电器的“常开、常闭”触点,可以 这样来区分:“常开触点”是继电器在线圈没有通电情况下处于断开状态的静触点;“常 闭触点”是处于接通状态的静触点 4. 2.4.2 继电器的作用 (1) 扩大控制范围:例如,多触点继电器控制信号达到某一定值时,可以按触点组的 不同形式,同时换接、开断、接通几路甚至十几路电路. (2) 放大:例如,灵敏型继电器、中间继电器等,用一个很微小的控制量,可以控制 很大功率的电路. (3) 综合信号:例如,当多个控制信号按规定的形式输入多绕组继电器时,经过比较 综合,达到预定的控制效果. (4) 自动、遥控、监测:例如,自动装置上的继电器与其他电器一起,可以组成程序 控制线路,从而实现自动化运行. 2.4.3 继电器的技术参数 (1) 额定工作电压:是指继电器线圈需要的正常工作电压.可以是直流电压,也可以 是交流电压,这取决于继电器的型号. 江南大学学士学位论文 4 (2) 直流电阻:是指继电器中线圈的直流电阻,可以使用万能表测量得到具体数值. (3) 吸合电流:是指在正常使用中继电器能够产生吸合动作的最小电流.为了让继电 器稳定工作,给定的电流必须略大于吸合电流.为了不因大电流烧毁线圈,给线圈加的工 作电压一般不会超过 1.5 倍额定工作电压. (4) 释放电流:是指继电器释放动作的最大电流.当继电器吸合状态的电流减小到一 定程度时(电流远远小于吸合电流) ,继电器就会恢复到未通电的释放状态. (5) 触点切换电压和电流:是指继电器允许加载的电压和电流.它决定了继电器能控 制电压和电流的大小,使用时不能超过此值,否则很容易损坏继电器的触点. 2.4.4 继电器的参数选择 (1) 继电器额定工作电压的选择.继电器最主要的一项技术参数就是额定工作电压.使 用继电器时,首先应该考虑电路(即继电器线圈电路)的工作电压,继电器的额定工作 电压与所在电路的工作电压应该是相等的.一般所在电路的工作电压是继电器额定工作电 压的 0.86 倍.电路的工件电压不允许超过继电器额定工作电压,不然继电器线圈会烧毁. 另外,一些集成电路如 NE555 电路可以直接驱动继电器工作,一些集成电路如 COMS 电 路输出电流小,为了能驱动继电器需要加一级晶体管放大电路才能工作,这时要考虑晶 体管输出电流应大于继电器的额定工作电流. (2) 继电器触点负载的选择.触点负载是指触点的承受能力.继电器的触点在转换时可 承受一定的电压和电流.所以在使用继电器时,需要注意到触点上通过的电流和触点两端 的电压不能超过继电器规定的触点负载能力. (3) 继电器线圈电源的选择.这是指继电器线圈工作使用的是直流电( DC)还是交流 电(AC).通常,初学者采用直流电源供电的电子线路来进行电子制作活动,所以这时需 要使用在直流电压下线圈工作的继电器. 2.4.5 继电器的测试点 (1) 测触点电阻.用万能表的电阻档,测量常闭触点与动点电阻,在不工作情况下其 阻值应为 0,而常开触点与动点的阻值为无穷大.常闭触点和常开触点的判断可以通过这 种阻值不同的方法来区别. (2) 测线圈 电阻.可用万能表 R10 档测量继电器线圈的阻值,从而判断该线圈是否 存在着开路现象. (3) 测量吸合电压和电流.使用电流表和可调稳压电源,给继电器输入一组电压,同 时把电流表串联到供电回路中进行监测.逐渐调高电源电压,继电器吸合声出现时,记下 吸合电压和吸合电流的数据.可以通过多次测量取平均值的方法使数据更精确. (4) 测量释放电压和电流.连线和测试方法与上一点相同,当继电器吸合后,再逐渐 降低电源电压,继电器释放声出现时,记下此时释放电压和释放电流的数据,同样,也 可以通过多次测量求平均值的方法取得平均的释放电压与释放电流.在一般情况下,继电 器的释放电压大约是吸合电压的 1050%,如果释放电压太小(小于 1/10 的吸合电压) , 则不能正常使用了,这样会对电路的稳定性造成威胁,不能可靠正常工作. 2.5 74HC138 3-8 译码器介绍 微电脑控制药膳煲的控制部分设计 5 74HC138 3-8 线译码器,译码器也称解码器,译码过程实际上是一种翻译过程,即编 码的逆过程.译码器的输入是 n 位二值代码,输出是 m 个表征代码原意的状态信号(或另 一种代码) ,一般情况下有 m 小于等于 2 的 n 次方,即译码器输入线比输出线 要少.译码 器按其功能可分为三大类: (1) 变量译码器:将输入的二进制代码还原为原始输入信号.例如有两位二进制代码 (0,1) ,可经译码器还原为四个信号状态(0,0) (0,1) (1,0) (1,1). (2) 代码变换译码器:是从一种编码转换为另一种编码. (3) 显示译码器:一般是将一种编码译成十进制码或特定的编码,并通过显示器件将 译码器的状态显示出来. 74HC138 3-8 线译码器是高速硅栅 CMOS 解码器,适合内存地址解码或数据路由应用. 74HC138 按照三位二进制输入码和赋能输入条件, 从 8 个输出端中译出一个低电平输出. 在解调器应用中,赋能输入端可用作数据输入端.在本设计中,使用译码器来控制数码管 的显示.其中前 3 位为设定时间,后 3 位为倒计时剩余时间,单位为分钟 5. 2.6 74HC245 8 位锁存器介绍 74HC245 是总线驱动器,典型的 TTL 型 8 位三态缓冲门电路.它是双向输入/ 输出口, 它的双向功能是通过 DIR 和 E结合控制数据传输方向 .当 E为低电平,DIR 为低电平,数 据从 B 到 A 传输;相反,如果 为低电平,DIR 为高电平,数据从 A 到 B 传输. 由于单片机等 CPU 的数据/地址/控制总线端口都有一定的负载能力,如果负载超过 其负载能力,一般应加驱动器.其主要用作信号功率放大.在本设计中,74HC245 的 A 端 接单片机的 P2 口,B 端接外设的数码管,从而起到了功率放大的作用 6. 2.7 三端稳压器 LM7805 介绍 在电子产品中,常见的三端稳压集成电路有正电压输出的 LM78XX 系列和负电压输 出的 LM79XX 系列.顾名思义,三端 IC 是指这种稳压用的集成电路,只有三条引脚输出, 分别是输入端、接地端和输出端.该系列集成稳压 IC 型号中的 LM78 或 LM79 后面的数 字表示该三端集成稳压电路的输出电压,如 LM7806 表示输出电压为正 6V,LM7909 表 示输出电压为负 9V.本设计中 LM7805 是输出 5V 三端稳压器件,内部采用电流限幅、过 热短路保护,所以这种集成电路实际上是不会烧毁的器件.它适用于变化范围限宽的电源 输出,从而获得稳定的电压输出.由于输出电流大,所以它可以为整个电路板提供稳压电 流,避免采用多稳压的麻烦.其特点是输出电流可超过 1A,无需外接元件,具有短路电流 保护和低输出电阻特性 7. LM7805 在实际应用中,应在三端集成稳压电路上为其安装足够大的散热器(小功率 的条件下没有必要).原因是当稳压管温度过高时,稳压性能会降低,甚至引起器件损坏. 当电路制作中需要一个能输出 1.5A 以上电流的稳压电源时,一般情况下将 N 块三端 稳压电路并联,使得其最大输出电流为 N 倍的 1.5A,但在应用过程中需要注意的是为了 保证参数的一致,并联时所使用的集成稳压电路应采用同厂家同批号的产品.除此之外, 为了避免个别集成稳压电路失效导致其他电路的连锁烧毁,在输出电流上应当留有一定 的余量. 江南大学学士学位论文 6 2.7.1 LM7805 在使用时的注意事项 (1) 输入输出压差不能太大,太大的话转换效率就会迅速下降,而且容易引起击穿损 坏; (2) 1.5A 是输出电压的极限值,不能超过这个值.对于大电流输出的情况下,为了避 免出现热击穿或者高温保护,散热片尺寸需要足够大; (3) 输入输出压差也不能太小,太小的话效率会很差. 2.7.2 LM7805 的引脚序号与引脚功能 引脚号标注方法是按照引脚电位从高到底的顺序标注的.这样标注便于记忆.引脚 为最高电位,脚为最低电位, 脚居中.不论正压还是负压, 脚均为输出端.对于 LM78XX 正压系列,输入是最高电位,自然是脚,地端为最低电位,即 脚.对于 LM79XX 负压系列,输入为最低电位,自然是脚,而地端为最高电位,即 脚.此外, 还应注意,散热片总是和最低电位的第脚相连. 这样在 LM78XX 系列中,散热片和地 相连接,而在 LM79XX 系列中,散热片却和输入端相连接. 2.8 电压比较器 LM393 介绍 LM393 为双电压比较器.LM393 系列由两个偏移电压指标低达 2.0 的独立精密电压比 较器构成.该产品采用单电源操作设计,工作电压范围达到 236V.因其低电耗不受电源 电压值影响,也可采用分离式电源.还有一个特点是,即使是在单电源操作情况下,其输 入共模电压范围也包括接地.LM393 系列能够直接与 TTL 及 CMOS 逻辑电路接口.无论正 电源还是负电源操作,当低电耗比标准比较器的优势明显时,LM393 系列便与 MOS 逻辑 电路直接接口 8. LM393 是高增益,宽频带器件,象大多数比较器一样,如果输出端到输入端有寄生 电容而产生耦合,则很容易产生振荡.这种现象仅仅出现在当比较器改变状态时,输出电 压过渡的间隙,电源加旁路滤波并不能解决这个问题,标准 PC 板的设计对减小输入输 出寄生电容耦合是有助的.减小输入电阻至小于 10K 将减小反馈信号,而且增加甚至很小 的正反馈量(滞回 1.010mV)能导致快速转换,使得不可能产生由于寄生电容引起的振 荡,除非利用滞后,否则直接插入 IC 并在引脚上加上电阻将引起输入输出在很短的转 换周期内振荡,如果输入信号是脉冲波形,并且上升和下降时间相当快,则滞回将不需 要. 比较器的所有没有用的引脚必须接地. LM393 偏置网络确立了其静态电流与电源电压范围 2.030V 无关. 通常电源不需要加旁路电容. 差分输入电压可以大于 Vcc 并不损坏器件,保护部分必须能阻止输入电压向负端超 过-0.3V . LM393 的输出部分是集电极开路,发射极接地的 NPN 输出晶体管,可以用多集电 极输出提供. LM393 的主要功能是输出负载电阻能衔接在可允许电源电压范围内的任何电源电压 上,不受 Vcc 端电压值的限制.此输出能作为一个简单的对地 SPS 开路(当不用负载电 微电脑控制药膳煲的控制部分设计 7 阻没被运用) ,输出部分的陷电流被可能得到的驱动和器件的 值所限制.当达到极限电 流(16mA)时,输出晶体管将退出而且输出电压将很快上升.输出饱和电压被输出晶体 管大约 60ohm 的 SAT 限制.当负载电流很小时,输出晶体管的低失调电压(约 1.0mV) 允许输出箝位在零电平 9. 在本设计中,采用了 LM393 作为温度检测电路核心元件.此外还有一种方法是使用 DS18B20 温度传感器来进行温度检测.DS18B20 的测温范围是-55 +125,固有测温误 差 0.5.单片机访问 DS18B20 必须遵守 DS18B20 复位、执行 ROM 指令、执行 DS18B20 功能指令这个顺序.而在单点上,可以直接跳过 ROM 指令.DS18B20 的转换精度默认为 12 位,而分辨率是 0.0625.DS18B20 温度读取包括三个步骤.第一步让 DS18B20 启动温 度转换,这一步中首先让 DS18B20 复位,然后连续写入跳过 ROM 的字节命令:0 xCC, 开始转换的功能命令:0 x44,之后延时一段时间.第二步为读暂存数据,具体为首先让 DS18B20 复位,然后写入跳过 ROM 的字节命令: 0 xCC 和读暂存的功能命令:0 xBE.完 成后读入第 0 个字节 LS Byte,转换结果的低八位 .读入第 1 个字节 MS Byte,转换结果的 高八位.最后 DS18B20 复位,表示读取暂存结束. 最后一步为整合 LS Byte 和 MS Byte 的 数据乘以 0.625 即得到带一位小数的温度值.DS18B20 虽然具有测温系统简单、测温精度 高、连接方便、占用口线少等优点,但对于本设计中要注意到较小的硬件开销需要相对 复杂的软件进行补偿,由于 DS18B20 与微处理器间采用串行数据传送,因此 ,在对 DS18B20 进行读写编程时,必须严格的保证读写时序,否则将无法读取测温结果.在使用 PL/M、C 等高级语言进行系统程序设计时,对 DS18B20 操作部分最好采用汇编语言实现.而 且本设计的温度检测电路中只需针对几个特定温度点进行检测比较,所以采用 LM393 更 适合一些,也更容易实现. 微电脑控制药膳煲的控制部分设计 8 第 3 章 控制电路设计 3.1 药膳煲的总电路设计方案 控制电路除了单片机电路外还有按键电路、温度检测电路、时间显示电路、功率控 制电路、加热电路、电源电路和讯响电路等.如图 3-1. 图 3-1 总电路设计框图 3.2 各模块电路的设计实现 3.2.1 单片机及其外围电路 (1) 单片机 选用的是 40 脚的 8 位单片机 STC89C52,片内含 8kB 的 Flash 存储器,可储存工作 程序,可在线编程. (2) 晶振电路 在单片机的 XTAL1 和 XTAL2 端接石英晶体振荡器 1Y1(12MHz )和电容 1C2、 1C3,为单片机提供 12MHz 的时钟信号,这样一个机器周期正好是 1 微秒. (3) 复位电路 单片机 RST 端为复位端,接有电容 1C1 和电阻 1R1,开机通电时,电容 1C1 两端相 当于短路,RST 引脚上为高电平,然后电源通过电阻 1R1 对电容 1C1 充电,RST 端电压 慢慢下降,降到一定电压值以下,即为低电平,单片机开始工作.工作电路如图 3-1. 微电脑控制药膳煲的控制部分设计 9 图 3-2 单片机电路 3.2.2 时间显示电路与数码管电路 用 1 个 6 位共阴极数码管 5DPY1,以分钟为单位,来显示设定时间(前三位)和剩 余时间(后三位).前 3 位来显示药膳煲烧煮的“设定时间” ,后 3 位来显示烧煮的“剩 余时间”.单片机 P2 口经 8 位锁存器 5U1、74HC245N(有电流放大作用)输出驱动各数码 管的 8 段引脚,单片机 P1.0P1.2 口输出数码管的位选信号,经 3-8 译码器 5U2、74HC138(有电流放大作用),驱动 6 个数码管的阴极 D1D6,数码管用软件进行动 态扫描显示.工作电路如图 3-2. 江南大学学士学位论文 10 图 3-3 时间显示电路与数码管电路 3.2.3 按键电路 按键信号由单片机的 P 0.2P0.5 端输入,按键开关 3S1 是“选择”按键,3S2 是 “120 分”按键,3S3 是“ 180 分”按键,3S4 是“ 清零”按键.这些按键的一端接地,另 一端通过电阻 3R13R4 接到电源,又通过 3D13D4 二极管与外中断触发端 INT0 连接. 当无按键按下时, P0.2P0.5 口均为高电平;当有按键被按下时,外中断得到一个输入脉 冲,转入中断处理程序,对 P0.2P0.5 端口进行扫描,捕捉到相应的输入端口(低电平), 得到按键命令,作出程序选择转入相应的子程序.工作电路如图下 3-3. 微电脑控制药膳煲的控制部分设计 11 图 3-4 按键电路 3.2.4 温度检测电路 热敏电阻 4RT1 装在发热盘内,可探测锅底的温度, 4RT1 是负温度系数的热敏电阻, 当温度升高时,电阻值会变小. 温度检测电路由 4U1 电压比较器 LM393、热敏电阻 4RT1、电阻 4R14R9、电容 4C1、 4C2 和二极管 4D14D6 等组成.集成电路 LM393 是电压比较器,6 脚是反相输入端, 它的电压 U6 由电阻 4R6 及可变电阻 4VR1 设定(用可变电阻来调整集成运放的翻转输入 电平).5 脚是电压比较器 LM393 的同相输入端,该脚的电压 U5 由电阻 4R14R4 中的一 个及热敏电阻 4RT1 的变化而改变.当温度升高时, 4RT1 阻值减小,LM393 的 5 脚电压 U5 降低. 下面看一下单片机是怎样测温和控制加热的: 当药膳煲开始升温时,单片机进入 100测温程序:使测温输出端 P1.4 和 P1.5 都输 出高电平,当锅底温度低于 100时,电压比较器的 U5U6,它的输出端 7 脚输出高电 平,经二极管 4D6 电阻 4R9 加到单片机的测温输入端 P1.6 脚.当锅底温度达到 100时, 由于 4RT1 电阻变小,U5 降低,电压比较器 U5U6,它的 7 脚输出低电平,单片机使加 热器停止加热.然后进入 90测温程序:使测温输出端 P1.3 和 P1.5 都输出高电平,当锅 底温度高于 90时,电压比较器的 U5U6,电压比较器 7 脚输出高电平,单片机使加热器再次加热.如此循环控制,使锅内一直处于维持沸腾状 态.工作电路如图下 3-4. 江南大学学士学位论文 12 图 3-5 温度检测电路 3.2.5 讯响电路 当药膳煲完成工作后,蜂鸣器会连响 6 声.蜂鸣器 6B1 上端接电源 VCC,下端接三极 管 6VI1 集电 极,蜂鸣器只要接通电源就会鸣响.单片 机的 P1.4 口是蜂鸣信号输出端,如 P1.4 口输出高电平,经 6R1 电阻到三极管的基极,使三极管导通,蜂鸣器与电源形成通 路就会鸣响.当 P1.4 口输出低电平,三极管截止,蜂鸣器与电源断开,就停止工作.工作 电路如图下 3-5. 微电脑控制药膳煲的控制部分设计 13 图 3-6 讯响电路 3.2.6 加热电路与功率控制电路 加热电路由煲煮加热器和保温加热器组成,都是采用陶瓷发热体元件.是将电热体与 陶瓷经过高温烧结,固着在一起制成的一种发热元件,能根据本体温度的高低调节电阻 大小,从而能将温度恒定在设定值,不会过热,具有节能、安全、寿命长等特点.煲煮加 热器的功率是 300W,通断是用继电器控制的,保温加热器的功率是 100W,通断是用单 向可控硅控制的. 如单片机 P0.1 口输出高电平,使 2VT1 三极管导通,其集电极所接的继电器线圈经 过 2R1 电阻到 10V 电源,线圈通电,继电器触点 2K1 吸合,使煲汤加热器与电源形成通 路而工作;如 P0.1 口输出低电平,使 2VT1 三极管截止,继电器线圈断电,触点 2K1 断 开,使煲煮加热器与电源断开而停止工作. 如单片机 P0.0 口输出高电平,通过电阻 2R4 使 2VT2 三极管导通,其发射极所接的 单向可控硅 2VS1 的控制极升高,可控硅导通,使保温加热器与电源形成通路而工作;如 P0.1 口输出低电平,使 2VT2 三极管截止,可控硅截止,使保温加热器与电源断开而停止 工作.工作电路如图下 3-6. 江南大学学士学位论文 14 图 3-7 加热电路和功率控制电路 3.2.7 电源电路 220V 交流电压经 2T1 电源变压器降到交流 10V 输出,经 2B1 桥堆整流,电容 2C1 滤波变成 10V 直流电压,然后分成两路,一路给继电器 2J1 使用;另一路经 2U1、LM7805 稳压集成电路稳压至 5V 输出,经 2C2、2C3 电容二次滤波,直流 5V 电源 供单片机及其它电路使用.工作电路如图 3-7. 微电脑控制药膳煲的控制部分设计 15 图 3-8 电源电路 江南大学学士学位论文 16 第 4 章 软件设计 4.1 C 语言介绍 C 语言是一种得到广泛重视并普遍应用的计算机程序设计语言,也是国际公认的最重 要的几种通用程序设计语言之一,它既可用来编写系统软件也可用来编写应用软件.C 语 言具有丰富的运算符与表达式,以及先进的控制结构和数据结构.C 语言既具有高级语言 简单易学和可移植性好的特点,又具有汇编语言生成代码质量高的优点.因此,C 语言具 有较强的生命力和广泛的应用前景 10. 4.2 软件编程构思 STC89C52 单片机有两个外中断输入端及两个内置定时器/计数器,本设计为了捕捉 按键信号的输入,及时启动按键扫描程序,使用了 INT1 外中断端;为了以分钟为单位进 行定时及倒计时显示,使用了定时器 T0 作为 50MS 定时器.本设计共使用了单片机的一 个外中断 INT1 及一个内置定 时器 T0. 所以程序中有 T0 及 INT1 中断初始化程序、T0 中断处理程序、INT1 中断处理程序 (对按键信号的处理).还有准备程序(定义单片机的输入输出口和各变量)、数码管显示程 序和倒计时程序(显示还剩下多少工作时间).其中倒计时程序和显示程序是由 T0 定时器 中断处理程序启动的,由于 T0 程序每 50MS 执行一次,同时进行倒计数和显示比较合适. 药膳煲的工作过程又分为开始程序、煲煮程序、保温程序、结束程序 4 个阶段. 4.3 药膳煲工作程序 (1) 开始程序:把中药材或米、豆等食物洗好后放入锅中,加入适量的水,然后按需要 煲煮的时间(有 120 分钟、180 分钟和 30 分钟的倍数三种)按下相应的按键,则煲煮程序 开始进行.煲煮加热器和保温加热器均通电加热,计时器开始正计时和倒计时,进入下一 程序. (2) 煲煮程序:当锅底温度达到 100时,关闭煲煮加热器和保温加热器.当锅底温度 降到 90时,再开启煲煮加热器,如此循环,直到正计时满 1 小时为止,关闭煲煮加热 器,进入下一程序. (3) 保温程序:开始保温程序,每秒检测一次锅底温度是否小于等于 70,是则打开 保温加热器进行升温;再每秒检测一次锅底温度是否大于等于 80,是则关闭温加热器, 如此循环,直到倒计时时间到为止,进入下一程序. (4) 结束程序 : 关闭温加热器,蜂鸣器鸣叫 6 声,表示全部工作完成. 微电脑控制药膳煲的控制部分设计 17 4.4 总程序框图 图 4-1 总程序框图 4.5 C 语言控制程序部分 部分 C 语言控制程序如下,完整程序在附录中. void main() /主程序 bwjr=0; /关闭保温加热 zfjr=0; /关闭煮饭加热 inter_init() /调用中断初始化子程序 if(zfkg=0) /如果煮饭开关已按下,向下 bzcx() /调用煲煮子程序 if(bwkg=1) /如果保温开关没按下,向下 fmqcx() /调用蜂鸣器程序 if(bwkg=0) /如果保温开关已按下,向下 bwcx() /调用保温子程序 微电脑控制药膳煲的控制部分设计 18 第 5 章 结论与展望 5.1 结论 本文初步实现了微电脑控制药膳煲的控制电路的设计.该设计通过对单片机和相关元 件的研究和应用,实现了模拟药膳煲工作的大火加热和文火保温过程,具有时间设定和 蜂鸣报警功能.在硬件设计过程中,系统的各个组成模块都考虑了几种可能的设计方案, 并根据需求进行了选择,尽力做到合理设计与方案最简.在软件方面,根据电路实际情况 采用 C 语言和汇编结合的方式进行 C 程序编写,根据电路画出了流程图,并且采用了模 块化编写,结构清晰,方便进行软件的阅读、修改和升级维护.在兼顾生产成本的同时基 本达到了预期设计要求. 5.2 不足之处及未来展望 虽然取得了一定的研究成果,但是本控制系统的设计仍有欠缺之处: (1) 功能控制不够完善,用户的可操作性不足.没有设计更多的设定时间的档位,相 对来说弹性不足. (2) 功能较为简单,对于可能出现的干烧、温度过高、接触不良等情况只有在电源处 设置了保险丝,没有使用多点监测,也给检修带来了一定的困难. 随着科技发展和人们生活水平提高,药膳煲会逐渐进入更多家庭中.关于药膳煲的未 来展望有以下几点: (1) 更为人性化的设计,可以设定时间范围更精确,并且有过程中自动再加入药材或 食材的功能. (2)带有语 音播报功能,可提供进度、时间等声音提示,更直观方便. 5.3 个人体会与收获 知识与实践结合才是真正的知识.在整个实践过程中,我学到了很多,不仅学会了一 些单片机开发方面的实践经验,更重要的是重新认识了真正的从设计,开发到产品的具体 应用是怎样的一个过程.在实际工作中,一方面要在不断遇到问题解决问题,然后遇到新 问题解决新问题的过程中不断积累经验;另一方面,也是更为重要的一点就是要学会学习.因 为一个人不可能把全部的技术都学会,相反,实际工作中往往是要求现学现用,活学活用.在 这次毕业设计过程中我深切体会到了这一点.在开题的时候发现很多元件都不懂,也没有 学过,觉得很难.后来在老师的悉心指导下逐渐解决问题.遇到不会的问题就去翻书学习, 不懂的元件就去书中找它的用法,在一点一点解决问题的过程中提高自己的综合素质.不 仅如此,在找资料的过程中我还发现了就算是同一个模块也可以有不同的设计方案,不同 的元件使用,都可以达到同样的效果.这时候就需要去比较选择相对来说更为适合的元件, 这也是学习的一部分.我想,大学期间学会如何动脑,如何自己学习并灵活应用才是最重 要的. 本科生毕业论文(设计)题目 19 微电脑控制药膳煲的控制部分设计 20 参考文献 1 李洪云. 电脑全自动药膳煲J .家用电器,1997,5:12-13. 2 高洪志. MCS-51 单片机原理及应用技术教程M.北京:人民邮电出版社,2009 3佟为明,翟国富 等. 低压电器继电器及其控制系统M. 哈尔滨市:哈尔滨工业大学出版 社,2000 4 邹海锋. 小型电磁继电器M. 西安市:陕西科学技术出版社 , 1984 5 Fryberger, TComparison of CMOS microprocessors and single chip microcomputers A OCEANS 82C1982:313 6 江世明. 基于 Proteus 的单片机应用技术M 北京市:电子工业出版社, 2009.06 7 柳永林等 . PC DIY 最新显示器集成电路大全M 北京市:北京希望电子出版社, 2002 8 余永权,单片机应用系统的功率接口技术 M. 北京航空航天大学出版社,2011 9 百度百科: LM393 http:/ 10 韩增红, 王冬梅. C 语言程序设计M 北京市:人民邮电出版社 , 2009.10 微电脑控制药膳煲的控制部分设计 21 致 谢 从选择课题到现在完成毕业设计论文,衷心感谢我的导师陈平讲师对我给予了精心 的指导和热情的帮助,尤其在课题设计的前期准备阶段和电路绘制阶段,导师提出许多 宝贵的意见.在最后的程序调试阶段导师在百忙之中抽出时间为我提供了很大的帮助,谨 此向二位导师表示衷心的感谢和崇高的敬意. 同时,我也衷心感谢给我提供过帮助的老师和一起做毕业设计的各位同学,感谢他 们对我各方面的支持和帮助. 最后向在百忙之中评审本文的各位专家、老师表示衷心的感谢! 江南大学学士学位论文 22 江南大学学士学位论文 23 附录 24 附录 A: 电路原理图 1 2 3 4 5 6 A B C D 6 5 4 3 2 1 DCBA Title Numb er Revis ion SizeBDate: 10-M ay-20 13 Sheet of File: C:Pr ogram Files Desi gn Ex plore r 99 S EExa mples MyD esign 01.dd b Draw n By : 三三1 Y1 12MH z 1C110u VCC 1R110K GND 1C230p 1C330p GND DIR1 B7 11B6 12 B5 13B4 14 B3 15B2 16 B1 17B0 18A02 A13 A24 A35 A46 A57 A68 A7910 E19 5 U1A74HC2 45 GND VCC LEDaLEDb LEDcLEDd LEDeLEDf LEDgLEDh A1 B2 C3 VCC16 G16 G2A4 G2B5 GND8 Y0 15Y1 14 Y2 13Y3 12 Y4 11Y5 10 Y6 9Y7 75 U274HC1 38 GND 5R15.1K VCC P1.0P1.1 P1.2 P2.0P2.1 P2.2P2.3 P2.4P2.5 P2.6P2.7 D1D2 D3D4 LEDaLEDbLEDcLEDdLEDeLEDfLEDgLEDh abfc gd e DP Y 1234567 abcdefg 8 dp dp 5DPY 1 D1 abfc gd e DP Y abcdefgdp dp D2 abfc gd e DP Y abcdefgdp dp D3 abfc gd e DP Y abcdefgdp dp D4 abfc gd e DP Y abcdefgdp dp D5 abfc gd e DP Y abcdefgdp dp D6 D5D6 三三 三三 三三 RST 9 XTAL 1 19 EA/V PP 31 RXD /P3.0 10 INT0 /P3.2 12 INT1 /P3.3 13 T0/P3 .4 14 T1/P3 .5 15 P0.0/ AD0 39 P0.1/ AD1 38 P0.2/ AD2 37 P0.3/ AD3 36 P0.4/ AD4 35 P0.5/ AD5 34 P0.6/ AD6 33 P0.7/ AD7 32 P1.0 1 P1.1 2 P1.2 3 PSEN 29 XTAL 2 18 RD/P 3.7 17 WR/P 3.6 16 TXD/ P3.1 11 ALE/ PROG 30 P2.7/ A15 28 P2.6/ A14 27 P2.5/ A13 26 P1.7 8 P1.6 7 P1.5 6 P1.4 5 P1.3 4 P2.4/ A12 25 P2.3/ A11 24 P2.2/ A10 23 P2.1/ A9 22 P2.0/ A8 21 U?STC8 9C52 V CC 三三三 三三三 三三三 三三三 三三三 三三三 三三三 三 三三三 三 三三三 三三 8三三 三三 3-8三 三三 40GND 20 三三三 三三三 三三三 三三三 三三三 三 120三 三三 180三 三三 三三三 三 70三三 三80三三三 4D1 4D2 56433315 4D3 4D4 4D5IN41 48X5 4R14R24R34R44RT 130K 三三三 三三 3D1- 3D4 IN41 48 3S23S33S4 VCC 3R1- 3R4 1K GND 3S1 三三三 三 4R5 330 30K三 三三 4VR1 VCC 三三三 三三 4U1 LM39 36 7 5 GND 4R6100K 4R7100K 4R85.1K 4C10.01u F 三三三 三 三三三 三三三 GND 4D6IN41 48 4R91K 4C2100PGND 6三三 三三 三三三 三三三 6R14.7K 三三三 三 三三三 三三 三三三 三 6VT19013 6B 1三三三 GND VDD 2VT19013 GND 2VD1IN40 01 三三三 三三2J1 2R151 三三三 三三三 2R44.7K 2VT29013 2R3220 +10VVDD 2C1470u/25 V GND Vin 1 GND2 Vo ut 3 5VLM78 05三三GN D VCC +5V 2C2100u 2C30.01u GND GND 1 2 3 4 2B1三三三 三 GND 2T 1三三三三 三 2F 11 0A AC22 0V N L 三三三 2K1 三三三 三 2C40.01u 2C5 0.001 /600V 2L1 300W2L2100W 2R5 8M 2R2100 三三三 三三 三三三 三三 2VS1三三三 GND 三三三 三 90三三 三100三三三三三 三三三 三三三 三三三 三三三 三三三 三三0 903 三 三 附录 25 附录 B: C 语言程序 C 语言程序 #include #include #define uchar unsigned char sbit bwjr=P00; /P0.0 定义为“保温加热” 输出口 sbit bzjr=P01; /P0.1 定义为“煲煮加热” 输出口 sbit xzaj=P02; /P0.2 定义为“ 选择按键” 输入口 sbit rsaj=P03; /P0.3 定义为“120 分按键” 输入口 sbit ssaj=P04; /P0.4 定义为“180 分按键” 输入口 sbit qlaj=P05; /P0.5 定义为“清零按键” 输入口 sbit cw70=P06; /P0.6 定义为“测温 70”输出口 sbit cw80=P07; /P0.7 定义为“测温 80”输出口 sbit cw90=P13; /P1.3 定义为“测温 90”输出
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸下载 > CAD图纸下载


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!