1、2EDA简介与可编程逻辑器件PLD

上传人:45****2h 文档编号:246578730 上传时间:2024-10-14 格式:PPTX 页数:42 大小:377.64KB
返回 下载 相关 举报
1、2EDA简介与可编程逻辑器件PLD_第1页
第1页 / 共42页
1、2EDA简介与可编程逻辑器件PLD_第2页
第2页 / 共42页
1、2EDA简介与可编程逻辑器件PLD_第3页
第3页 / 共42页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,电子设计,自,自动化,EDA,魏永涛,什么是,EDA,EDA,应用电子,计算机,信息处理,人工智能,拓扑学,计算数学,ElectronicDesignAutomation,电子设计,自,自动化,是在计算,机,机的辅助,下,下完成电,子,子产品设,计,计的一种,先,先进的硬件设计,技,技术!,是立足于,计,计算机工,作,作平台开,发,发出来的,一,一整套先,进,进的设计,电,电子系统,的,的软件工具。,EDA,的发展,CAD,包含电气,性,性能和几,何,何图,形描述之,间,间一致性,的,的验证,(,PCB,),CAE,增加电路,功,功能和结,构,构的设计,(,PCB,的自动布,局,局布线及,分,分析),EDA,芯片设计,及,及系统设,计,计,(从,PCB,扩展到,IC,),EDA,技术的范,畴,畴,IC,版图设计,PLD,设计,电路设计,PCB,设计,模拟电路,数字电路,混合电路,设计输入,逻辑综合,仿真,编程下载,基于,EDA,工具的设,计,计步骤,电子系统,的,的设计、,仿,仿真、综,合,合与实现,设计(,Design,):根据,功,功能要求,,,,完成对,电,电子系统,的,的初步设,计,计,仿真(,Simulation,):又称,为,为模拟,,指,指利用计,算,算机模拟,所,所设计电,子,子系统的,实,实际工作,情,情况,合成(,Synthesis,):从所,设,设计电子,系,系统的行,为,为描述及,目,目标电路,的,的约束条,件,件出发,,找,找出一个,满,满足要求,的,的结构,,如,如原理图,PCB,。,实现(,R,ealization,),:,:,使,使,用,用,EDA,工,具,具,对,对,综,综,合,合,结,结,果,果,在,在,实,实,际,际,器,器,件,件,或,或,电,电,路,路,中,中,予,予,以,以,实,实,现,现,。,。,EDA,常,用,用,软,软,件,件,电,子,子,电,电,路,路,设,设,计,计,与,与,仿,仿,真,真,软,软,件,件,PCB,设,计,计,软,软,件,件,IC,设,计,计,软,软,件,件,PLD,设,计,计,软,软,件,件,MultiSim7,,,Proteus,,,SystemView,,,ProtelDXP,,,MAX+Plus/QuartusII,计算机并口,器件编程接口,PCB Board,PLD,编程目标文件,ElectronicsDesignAutomation,硬,件,件,软,软,化,化,,,,,软,软,件,件,硬,硬,化,化,EDA,技,术,术,的,的,特,特,点,点,软,、,、,硬,硬,件,件,协,协,同,同,设,设,计,计,一,般,般,的,的,系,系,统,统,由,由,硬,硬,件,件,电,电,路,路,和,和,运,运,行,行,其,其,上,上,的,的,软,软,件,件,构,构,成,成,,,,,有,有,些,些,功,功,能,能,既,既,可,可,搭,搭,建,建,硬,硬,件,件,电,电,路,路,实,实,现,现,,,,,也,也,可,可,软,软,件,件,编,编,程,程,实,实,现,现,。,。,软,件,件,:,:编,程,程,工,工,作,作,量,量,大,大,,,,,占,占,用,用,CPU,时,间,间,多,多,,,,,运,运,行,行,速,速,度,度,较,较,慢,慢,,但,但成,本,本,较,较,低,低,且,且,调,调,试,试,相,相,对,对,容,容,易,易。,硬,件,件,:,:运,行,行,速,速,度,度,快,快,,但,但成,本,本,高,高,且,且,调,调,试,试,难,难,度,度,较,较,大,大,软,件,件,和,和,硬,硬,件,件,的,的,合,合,理,理,分,分,配,配,采,用,用,CPLD/FPGA,器,件,件,EDA,技,术,术,的,的,特,特,点,点,电,子,子,设,设,计,计,自,自,动,动,化,化,的,的,主,主,要,要,应,应,用,用,(,1,),专,专,用,用,集,集,成,成,电,电,路,路,(ASIC),或,大,大,规,规,模,模,集,集,成,成,电,电,路,路,(,LSI,),设,设,计,计,(,(,使,使,用,用,PLD,器,件,件,及,及,开,开,发,发,系,系,统,统,),),(,2,),电,电,子,子,线,线,路,路,的,的,设,设,计,计,分,分,析,析,仿,仿,真,真,(,(,模,模,拟,拟,、,、,数,数,字,字,、,、,模,/,数,混,混,合,合,),),(,3,),多,多,层,层,印,印,制,制,电,电,路,路,板,板,元,元,件,件,布,布,局,局,、,、,自,自,动,动,布,布,线,线,、,、,仿,真,真,测,测,试,试,(,4,),电,电,子,子,系,系,统,统,设,设,计,计,与,与,整,整,体,体,优,优,化,化,(,5,),电,电,子,子,产,产,品,品,可,可,靠,靠,性,性,分,分,析,析,电,磁,磁,兼,兼,容,容,性,性,(,(,EMC,),分,分,析,析,热,热,分,分,析,析,等,等,课,程,程,安,安,排,排,课,堂,堂,24,学,时,时,,,,,实,实,验,验,16,学,时,时,,,,,第,第,三,三,次,次,课,课,后,后,找,找,任,任,良,良,超,超,老,老,师,师,联,联,系,系,实,实,验,验,。,。,课,时,时,少,少,,,,,课,课,堂,堂,上,上,只,只,讲,讲,最,最,实,实,用,用,的,的,部,部,分,分,(,仿,真,真,软,软,件,件,和,和,VHDL/CPLD),数,电,电,和,和,C,语,言,言,是,是,基,基,础,础,。,。,预,习,习,很,很,重,重,要,要,,,,,课,课,堂,堂,上,上,略,略,化,化,语,语,法,法,,,,,以,以,讲,讲,解,解,方,方,法,法,和,和,技,技,巧,巧,为,为,主,主,,,,,注,注,意,意做,笔,笔,记,记。,电,子,子,电,电,路,路,仿,真,真,简,简,介,介,电,路,路,仿,仿,真,真,RLC,二阶电路:,人脑:,利用节点法、回路法或支路法等列电路方程并求解,电脑:,如何实现?,将,电,电,路,路,图,图,转,转,成,成,计,计,算,算,机,机,能,能,识,识,别,别,的,的,形,形,式,式,:,:,数,数,学,学,模,模,型,型,模,拟,拟,电,电,路,路,的,的,仿,仿,真,真,计,算,算,和,和,求,求,解,解,生,成,成,波,波,形,形,数,学,学,模,模,型,型,数,学,学,方,方,程,程,物,理,理,现,现,象,象,拓,扑,扑,结,结,构,构,计,算,算,机,机,技,技,术,术,数,字,字,电,电,路,路,的,的,逻,逻,辑,辑,仿,仿,真,真,输,入,入,输,输,出,出,波,波,形,形,拓,扑,扑,关,关,系,系,输,入,入,输,输,出,出,逻,逻,辑,辑,数,字,字,器,器,件,件,器,件,件,的,的,功,功,能,能,和,和,特,特,性,性,计,算,算,机,机,技,技,术,术,仿,真,真,流,流,程,程,模拟电路仿,真,真设计工具,Berkeley University,:,SPICE3F5,SPICE,(,Simulationprogram with integratedcircuit emphasis,),,,用于模拟集,成,成电路,EWB:,由,Multisim,、,Ultiboard,、,Ultiroute,和,Commsim,四个软件模,块,块组成,核心:,SPICE,Hspice,Pspice,Vspice,可编程逻辑,器,器件,回顾:数字,系,系统的设计,一、数字系,统,统的概念,二、传统的,数,数字系统设,计,计方法,三、现代的,数,数字系统设,计,计方法,1,传统数字系,统,统设计方法,1.,根据设计要,求,求划分功能,模,模块;,2.,确定输入和,输,输出的关系,,,,画出真值表;,3.,由真值表写,出,出逻辑表达式;,4.,利用公式或,卡,卡诺图进行人工化简;,5.,根据,化简后的逻,辑,辑表达式画出电路原,理,理图;,6.,在面包板上,进,进行实验,验证电路的正确,性,性;,7.,若,无,错误,画,PCB,图;,8.,检查后送制,板,板厂制板;,9.,对,PCB,板进行安装,、,、调试,若,有,有大的错误,,,,修改设计,,,,重复以上,过,过程,重新,制,制板。,基于电路板,的设计方法,采用固定功,能,能的器件(,通,通用型器件,),),通过设,计,计电路板来实现系统,功,功能,传统,的数字系统,设,设计方法,基于电路板,采用固定功,能,能器件(通,用,用型器件),,,,通过设计,电路板,来实现系统,功,功能,写出真值表,或,或状态表,推,推出逻辑表,达,达式化简,逻辑电路,图,图用小规,模,模逻辑器件,来,来实现,特点,采用自下而上(,Bottom Up,)的设计方,法,法,采用通用型逻辑器件,搭积木式的,方,方式,在系统硬件,设,设计的后期,进,进行仿真和,调,调试,主要设计文,件,件是电路原理图,传统的数字,系,系统设计方,法,法,效率低下,几乎都是手,工,工完成!,设计周期很,长,长;,容易出错(,如,如,PCB,绘制与焊接,器件引脚),;,;,芯片种类多,,,,数量大,,受,受市场的限,制,制;,设计灵活性,差,差(如器件,下,下市);,产品体积大,。,。,采用自下而上(,Bottom Up,)的设计方,法,法,采用通用型逻辑器件,搭积木式的,方,方式,在系统硬件,设,设计的后期,进,进行仿真和,调,调试,主要设计文,件,件是电路原理图,2,现代的数字,系,系统设计方,法,法,首先在计算,机,机上安装,EDA,软件,它们,能,能帮助设计,者,者自动完成,几,几乎所有的,设,设计过程;,再,再,选择合适的,PLD,芯片,可以在一片芯片,中,中实现整个数,字,字系统。,基于芯片的设计方法,采用,PLD,(可编程逻,辑,辑器件),,利,利用,EDA,开发工具,,通,通过芯片设计来实现,系,系统功能。,EDA,软件,空白,PLD,+,数字系统,编程,现代,的数字系统,设,设计方法,计算机,+,EDA,软件,空白,PLD,+,数字系统,通常采用自上而下(,Top Down,)的设计方,法,法,采用可编程逻辑器件,在系统硬件,设,设计的早期,进,进行仿真,主要设计文,件,件是用硬件,描,描述语言编,写,写的源程序,降低了硬件,电,电路设计难,度,度,特点,1.,自上而下的,设,设计(,Top Down,),占据主导地,位,位,辅助的设计,手,手段,功能模块划,分,分,子模块设计,系统级设计,功能级描述,功能仿真,门级描述,时序仿真,若仿真未通,过,过,则需修,改,改设计!,2.,自下而上的,设,设计(,Bottom Up,),设计基本单,元,元构成子,模,模块子系,统,统系统,现代的数字,系,系统设计方,法,法,1.,根据设计要,求,求划分功能,模,模块,2.PLD,开发(利用,EDA,工具),(,1,),设计输入,:,采用硬件描述语,言,言(,HDL,),,,用条件语句,或赋值语句,表示输入和,输,输出的逻辑,关,关系,将整,个,个程序输入,到,到计算机中,;,;,(,2,),设计的编译,:,EDA,工具可自动,进,进行逻辑综合,将功能描,述,述转换为门,级,级描述,或,转,转换成具体,PLD,的网表文件,,,,将网表文,件,件自动适配,到,到具体芯片,中,中进行布局布线;,(,3,),功能仿真和时序仿真,;,(,4,),编程下载到实际芯片,中,中,在实验,台,台上进行实,际,际验证;,(,5,)在每一阶,段,段若,有问题,,可,在计算机上,直,直接修改设,计,计,重复以,上,上过程。,现代的数字,系,系统设计方,法,法,3.,设计包含,PLD,芯片的电路,板,板,(,1,)在,计,计算,机,机上,利,利用,EDA,软件,画,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 营销创新


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!