MOS存储器课件

上传人:仙*** 文档编号:241802811 上传时间:2024-07-25 格式:PPT 页数:115 大小:3.49MB
返回 下载 相关 举报
MOS存储器课件_第1页
第1页 / 共115页
MOS存储器课件_第2页
第2页 / 共115页
MOS存储器课件_第3页
第3页 / 共115页
点击查看更多>>
资源描述
电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理第六章第六章 MOS存储器存储器2024/7/251电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理MOS存储器分类存储器分类通常可分为只读存储器和随机存取存储器两大类。通常可分为只读存储器和随机存取存储器两大类。只读存储器简称只读存储器简称ROM,属于非易失性存储器,又,属于非易失性存储器,又可分为固化可分为固化ROM和可改写和可改写ROM。可改写。可改写ROM目前常目前常用的有可擦除可编程的用的有可擦除可编程的EPROM、电可擦除可编程的、电可擦除可编程的E2PROM和闪存和闪存flash。随机存取存储器简称随机存取存储器简称RAM,属于易失性存储器,属于易失性存储器,一分为静态和动态(一分为静态和动态(SRAM和和DRAM)两类。)两类。还有一些特殊用途的存储器,如限定存取顺序的还有一些特殊用途的存储器,如限定存取顺序的先进先出存储器先进先出存储器FIFO和后进先出存储器和后进先出存储器LIFO、按内、按内容寻址存储器容寻址存储器CAM以及多端口存储器等。以及多端口存储器等。2024/7/252电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 存储器早期以单块存储器早期以单块IC封装形式广泛封装形式广泛应用于各种电子系统中,目前应用于各种电子系统中,目前作为嵌入作为嵌入式存储器与逻辑功能集成在同一芯片上式存储器与逻辑功能集成在同一芯片上也被广泛应用。也被广泛应用。2024/7/253电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 只读存储器只读存储器(read-only memory-ROM)可以分为以下两大类:可以分为以下两大类:(1)掩模编程掩模编程ROM,它所储存的固定逻辑,它所储存的固定逻辑信息是由生产厂家通过光刻掩模版来决定的。信息是由生产厂家通过光刻掩模版来决定的。(2)现场可编程现场可编程ROM(programmable read-only memory),PROM(可编程可编程ROM)。此类。此类ROM通通常采用溶丝结构,用户可根据编程的需要常采用溶丝结构,用户可根据编程的需要,把把无用的溶丝烧断来完成编程工作无用的溶丝烧断来完成编程工作(即把信息写即把信息写2024/7/254电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理入到存储器中入到存储器中)。但一旦编程完毕,就无法再变。但一旦编程完毕,就无法再变更,故用户只可编程更,故用户只可编程(写写)一次。一次。EEPROM(电可擦除可编程电可擦除可编程ROM,也叫,也叫E2PROM-electrically erasable programmable read only memory)。EPROM(可擦除可编程可擦除可编程ROM-erasable programmable read only memory)。此类。此类ROM存贮单元中存储信息的管子采用浮栅存贮单元中存储信息的管子采用浮栅(floating-gate)结构,可用紫外光或结构,可用紫外光或X-射线把原来存的信射线把原来存的信息息一次全部一次全部擦除。擦除。2024/7/255电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 随机存取存储器随机存取存储器(random-access memory),简称,简称RAM。这类存储器可以随时将外部信息。这类存储器可以随时将外部信息写入到其中的任何一个单元中去,也可随意地写入到其中的任何一个单元中去,也可随意地读出任意一个单元中的信息。根据存储单元存读出任意一个单元中的信息。根据存储单元存储信息所用电路的类型,又可分为储信息所用电路的类型,又可分为 (1)SRAM(静态随机存取存储器静态随机存取存储器),其存储,其存储单元由某种单元由某种锁存器锁存器作为存储元件,所以只要不作为存储元件,所以只要不断掉电源,存储的信息就一直保留着。速度快、断掉电源,存储的信息就一直保留着。速度快、功耗大、芯片面积大。功耗大、芯片面积大。2024/7/256电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 (2)DRAM(动态随机存取存储器动态随机存取存储器),其存储,其存储单元是利用一个很小的电容存储电荷来保持信单元是利用一个很小的电容存储电荷来保持信息的。重写、集成度高、功耗低、但速度不如息的。重写、集成度高、功耗低、但速度不如SRAM。2024/7/257电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理分类:分类:掩模掩模ROM可编程可编程ROM(PROM)可擦除可编程可擦除可编程ROM(EPROM)随机存储器随机存储器RAM静态存储器静态存储器SRAM动态存储器动态存储器DRAM按按功功能能(Read-Only Memory)(Random Access Memory)(Programmable ROM)(Erasable PROM)UVEPROMEEPROM只读存储器只读存储器ROMFlash Memory(Ultra-Violet)(Electrically)电可擦除电可擦除紫外线擦除紫外线擦除(Static RAM)快闪存储器快闪存储器(Dynamic RAM)还可以按制造工艺还可以按制造工艺分为双极型和分为双极型和MOS型两种。型两种。主要指标:存储容量、存取速度。主要指标:存储容量、存取速度。2024/7/258电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-1 存储器的结构存储器的结构2024/7/259电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 思考题思考题1.存储器一般由哪几部分组成?存储器一般由哪几部分组成?2.设计译码电路时应注意什么问题?设计译码电路时应注意什么问题?3.多级译码电路有什么优点?多级译码电路有什么优点?2024/7/2510电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.1 存储器的结构图存储器的结构图读写控制读写控制列译码器列译码器输入输入/输出输出(N M)控制控制信号信号数数据据m位位列地址列地址n位位行行地地址址行行译译码码器器存储体存储体 各种各种存储器都存储器都有各自的有各自的特点,但特点,但它们的结它们的结构大体上构大体上是一致的。是一致的。2024/7/2511电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.2 存储体存储体 存储体是由若干个存储单元存储体是由若干个存储单元组成的阵列,若字数为组成的阵列,若字数为N,每个字,每个字的位数为的位数为M,则表示为,则表示为 N M(与(与行数和列数可能有差别,行数行数和列数可能有差别,行数 N,列数列数 M,行数行数 列数列数=N M)。不同类别存储器有不同的存储单元,但是不同类别存储器有不同的存储单元,但是有共同的特点:有共同的特点:每个存储单元有两个相对稳定的状态,分每个存储单元有两个相对稳定的状态,分别代表二进制信息别代表二进制信息“0”和和“1”。(N M)存储体存储体2024/7/2512电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.3 地址译码器地址译码器m位位列地址列地址列译码器列译码器n位位行行地地址址行行译译码码器器(N M)存储体存储体 存储体中的每存储体中的每个存储单元都有自己个存储单元都有自己唯一的地址(行、列)唯一的地址(行、列),地址译码器就是将地址译码器就是将地址信号译成具体的地址信号译成具体的选择地址。选择地址。一般将地址信一般将地址信号分为行地址信号和列地址信号,因此地址译号分为行地址信号和列地址信号,因此地址译码器分为行地址译码器和列地址译码器。码器分为行地址译码器和列地址译码器。2024/7/2513电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 行译码器电路的行译码器电路的输入是来源于地址寄输入是来源于地址寄存器的存器的N位二进制地位二进制地址,址,首先经过缓冲器首先经过缓冲器产生正反地址信号,产生正反地址信号,然后通过编码电路译然后通过编码电路译成对应存储体每一行成对应存储体每一行的地址信号的地址信号(一般称一般称为字线为字线WL)。A2A1A0A3字字线线WL0WL1WL2WL3WL154位行地址位行地址产生产生16条条字线字线WL。6.1.4 行地址译码器行地址译码器(1)基本原理基本原理电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.4 行地址译码器行地址译码器(1)基本原理基本原理A2A1A0A3字字线线WL0WL1WL2WL3WL15WL0=A3 A2 A1 A0WL1=A3 A2 A1 A0WL2=A3 A2 A1 A0WL3=A3 A2 A1 A0WL4=A3 A2 A1 A0WL5=A3 A2 A1 A0WL15=A3 A2 A1 A04位行地址位行地址产生产生16条条字线字线WL。2024/7/2515电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 对于大容量对于大容量存储器,存储器,行地址缓行地址缓冲器的负载将过多,冲器的负载将过多,编码电路与非门的编码电路与非门的输入端数过多,输入端数过多,都都会严重影响译码速会严重影响译码速度。度。A2A1A0A3字字线线WL0WL1WL2WL3WL154位行地址位行地址产生产生16条条字线字线WL。电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.4 行地址译码器行地址译码器(2)多级译码技术多级译码技术二级译码技术二级译码技术是将是将地址信号地址信号先分组译码先分组译码(2-4译码、译码、3-8译译码码),再集中编,再集中编码。可以有效码。可以有效地提高大容量地提高大容量存储器的译码存储器的译码速度。速度。A2A1A03-8译码译码L7L6L5L4L3L2L1L0A3A42-4译码译码H3H2H1H0被选中者输出为被选中者输出为“1”,其余为,其余为“0”A2A1A03-8译码译码L0L1L2L3L4L5L6L7A3A42-4译码译码H0H1H2H3被选中者输出为被选中者输出为“1”,其余为,其余为“0”0A 1A 63WL 3WL 1WL 2A 3A 4A 5A 0WL 5A 4A 3A1A10AA 2A10AA 10AA 10AA 0A 32AA 32AA 32AA 32AA 54AA 54AA 54AA 54AA 2WL 4WL 第二级译码第二级译码第第一一级级译译码码2024/7/2517电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.4 行地址译码器行地址译码器(3)地址同步控制地址同步控制 由于地址信号由于地址信号到达时间不一致,到达时间不一致,易引起字线的波动,易引起字线的波动,造成读写错误和功造成读写错误和功耗增加等现象。为耗增加等现象。为了防止此现象发生,了防止此现象发生,可加一地址输入使可加一地址输入使能信号控制。能信号控制。A2A1A0En字字线线 0A 1A 63WL 3WL 1WL 2A 3A 4A 5A 0WL 5A4A3A1A10AA 2A10AA 10AA 10AA 0A 32AA 32AA 32AA 32AA 54AA 54AA 54AA 54AA 2WL 4WL En2024/7/2518电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.5 列地址译码器列地址译码器 1.基本原理基本原理 列译码器的输入是列译码器的输入是来源于地址缓冲器的来源于地址缓冲器的M位二进制地址,位二进制地址,一般先一般先产生具有合适驱动能力产生具有合适驱动能力的正反地址信号,的正反地址信号,再通再通过树状开关选择电路构过树状开关选择电路构成对应存储体每一列成对应存储体每一列(位线)的地址信号组(位线)的地址信号组合。合。Di位线位线2024/7/2519电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.5 列地址译码器列地址译码器 2.开关树的设计开关树的设计 对于大容量存储器通常用四选一和二选对于大容量存储器通常用四选一和二选一的组合,以避免开关树的层次过多而影响一的组合,以避免开关树的层次过多而影响速度。速度。CMOS开关树性能较好。开关树性能较好。四选四选一一四选四选一一四选四选一一四选四选一一四选四选一一四选四选一一四选四选一一四选四选一一四选四选一一四选四选一一二选二选一一列列地地址址选选择择信信号号2024/7/2520电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.1.6 读写控制及输入输出电路读写控制及输入输出电路 读写控制电路是对存储器读操作和写操读写控制电路是对存储器读操作和写操作时序上的控制,主要包括地址译码器和数作时序上的控制,主要包括地址译码器和数据输入输出电路的控制。据输入输出电路的控制。输入输出电路是在控制电路的控制下,输入输出电路是在控制电路的控制下,将数据写入译码器指定地址的存储单元中或将数据写入译码器指定地址的存储单元中或将指定地址存储单元中的数据输出。将指定地址存储单元中的数据输出。不同的存储器有不同的读写控制及输入不同的存储器有不同的读写控制及输入输出电路,具体电路根据存储器的类别和具输出电路,具体电路根据存储器的类别和具体要求而定。体要求而定。2024/7/2521电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-2 Mask ROMMask ROM(掩模编程只读存储器(掩模编程只读存储器Mask Read-Only Memory)2024/7/2522电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 思考题思考题1.Mask ROM 的特点是什么的特点是什么?2.Mask ROM是如何存储信息是如何存储信息“0”和信和信息息“1”的的?2024/7/2523电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.1 Mask ROM的特点的特点 Mask ROM由用户提供码点数据由用户提供码点数据(要存储的固定数据),由芯片设计(要存储的固定数据),由芯片设计者设计版图,由生产厂家制版、流片者设计版图,由生产厂家制版、流片加工。芯片一旦制成,存储的信息无加工。芯片一旦制成,存储的信息无法改变,用户使用时只能读出已固化法改变,用户使用时只能读出已固化的数据,掉电信息也不会丢失。因此,的数据,掉电信息也不会丢失。因此,MASK ROM 只能用来存储固定信息。只能用来存储固定信息。2024/7/2524电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.2 E/D NMOS或非存储阵列或非存储阵列Vcc字字位位WordBit2024/7/2525电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.3 伪伪NMOS或非存储阵列或非存储阵列Vcc位位WordBit(1)电路结构电路结构 存储单元是以字线存储单元是以字线WL与与位线位线BL之间有无之间有无NMOS存储存储管来表示存管来表示存“0”还是存还是存“1”。选中字线为选中字线为“1”,没选,没选中字线为中字线为“0”。被选中单。被选中单元有存储管时位线输出元有存储管时位线输出“0”,而无存储管时位线,而无存储管时位线输出输出“1”。实质就是静态伪实质就是静态伪NMOS或非门的组合,字线为输入,或非门的组合,字线为输入,位线为输出。位线为输出。2024/7/2526电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.3 伪伪NMOS或非存储阵列或非存储阵列Vcc位位WordBit(2)特性分析特性分析 输出输出“0”时有静态时有静态功耗产生。采用小尺寸功耗产生。采用小尺寸存储管有利于降低静态存储管有利于降低静态功耗,同时也有利于减功耗,同时也有利于减小芯片面积。小芯片面积。输出低电平较高,输出低电平较高,输出逻辑摆幅小,且下输出逻辑摆幅小,且下降速度慢,需要由输出降速度慢,需要由输出电路进行改善。电路进行改善。2024/7/2527电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.3 伪伪NMOS或非存储阵列或非存储阵列Vcc位位WordBit(3)版图版图2024/7/2528电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.3 伪伪NMOS或非存储阵列或非存储阵列(4)版图特点版图特点 相邻两字线存相邻两字线存储单元共享接地有储单元共享接地有源区或共享接位线源区或共享接位线有源区,有利于减有源区,有利于减小面积。小面积。要改变存储数要改变存储数据时,必须更改有据时,必须更改有源区和引线孔两层源区和引线孔两层版图。版图。2024/7/2529电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.4 预充电结构预充电结构或非或非存储阵列存储阵列Vcc位位WordBit 负载管作为预充管,读操负载管作为预充管,读操作前对位线预充到作前对位线预充到“1”。读操作时,预充管截止。读操作时,预充管截止。输出输出“1”的位线保持,输出的位线保持,输出“0”的位线放电,因此消除的位线放电,因此消除了静态功耗。了静态功耗。低电平可以达到地电位。低电平可以达到地电位。其它方面与伪其它方面与伪NMOS或非或非阵列相似。阵列相似。2024/7/2530电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.5 伪伪NMOS与非与非存储阵列存储阵列 实质就是静态伪实质就是静态伪NMOS与非门的组合。与非门的组合。选中字线为选中字线为“0”,没选,没选中字线为中字线为“1”。被选中单。被选中单元有存储管时输出元有存储管时输出“1”;而无存储管时输出而无存储管时输出“0”。非读状态和输出非读状态和输出“0”时有时有静态功耗。静态功耗。字线不宜过多,否则严字线不宜过多,否则严重影响输出低电平和下降重影响输出低电平和下降速度。速度。2024/7/2531电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.6 预充电预充电-求值结构求值结构与非与非存储阵列存储阵列(1)电路结构及特点电路结构及特点 实质就是预充电实质就是预充电-求值求值结构动态或非门的组合。结构动态或非门的组合。预充时求值管截止,求预充时求值管截止,求值时预充管截至,消除了值时预充管截至,消除了静态功耗。求值管是必须静态功耗。求值管是必须的,否则不能消除静态功的,否则不能消除静态功耗。耗。字线不宜过多,否则严字线不宜过多,否则严重影响输出低电平和下降重影响输出低电平和下降速度。速度。Vcc字字Word 位位Bit2024/7/2532电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.6 预充电预充电-求值结构求值结构与非与非存储阵列存储阵列(2)版图特点版图特点 相邻两字线存相邻两字线存储单元共享有源区储单元共享有源区有利于减小面积。有利于减小面积。要改变存储数要改变存储数据时,必须更改有据时,必须更改有源区、引线孔和金源区、引线孔和金属三层版图。属三层版图。2024/7/2533电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.6预充电预充电-求值结构求值结构与非与非存储阵列存储阵列(3)便于编程的版图便于编程的版图 从结构上可以看出,从结构上可以看出,无器件等效为短接。无器件等效为短接。金属编程:所有单金属编程:所有单元制作存储管,不需要元制作存储管,不需要的用金属将源漏短接。的用金属将源漏短接。2024/7/2534电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.6预充电预充电-求值结构求值结构与非与非存储阵列存储阵列(3)便于编程的版图便于编程的版图 耗尽编程:所有单耗尽编程:所有单元制作存储管,不需要元制作存储管,不需要的用耗尽层将源漏短接。的用耗尽层将源漏短接。(面积小,但需要增加(面积小,但需要增加耗尽注入工艺)耗尽注入工艺)2024/7/2535电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.7 与或非与或非存储阵列存储阵列字字Word 位位 BitVcc2024/7/2536电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.8 输出电路输出电路(1)必要性必要性 由列选电路选择由列选电路选择相应位数同时输出。相应位数同时输出。Vcc位位WordBit列列选选输出电路输出电路输出电路输出电路 由于位线输出信号由于位线输出信号摆幅小(关键是低电平摆幅小(关键是低电平较高),驱动能力差,较高),驱动能力差,速度慢(关键是下降速速度慢(关键是下降速度慢)。为此需要设计度慢)。为此需要设计相应位数的输出电路对相应位数的输出电路对信号进行放大。信号进行放大。2024/7/2537电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.8 输出电路输出电路(2)结构和特点结构和特点QDCP 输出电路一般采用倒输出电路一般采用倒相器,根据需要可增加驱相器,根据需要可增加驱动和寄存。动和寄存。为达到良好的放大效果,为达到良好的放大效果,反相器的转折电压要较高反相器的转折电压要较高(在位线输出高低电平的(在位线输出高低电平的中间)。中间)。2024/7/2538电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.6 Mask ROM应用实例应用实例 1.96字符发生器字符发生器 由由5 7点阵构成,通过控制点阵构成,通过控制35个点的明暗来显示字符图形。个点的明暗来显示字符图形。采用或非存储阵列(采用或非存储阵列(96 35):每个字:每个字线上排列线上排列35个单元,对应个单元,对应35个点,即每个字个点,即每个字有有35位,有位,有MOS管的单元对应亮点。管的单元对应亮点。96个字个字符对应符对应96条字线,每个字的对应位相接。也条字线,每个字的对应位相接。也可采用可采用48 70阵列,每个字线对应阵列,每个字线对应2个字符,个字符,通过列译码分选字符输出。通过列译码分选字符输出。2024/7/2539电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.2.6 Mask ROM应用实例应用实例 2.液晶七段数码显示器液晶七段数码显示器 数码数码7段构成,通过控制段构成,通过控制7个段个段的明暗来显示数码图形。的明暗来显示数码图形。采用或非存储阵列(采用或非存储阵列(10 7):每个字线:每个字线上排列上排列7个单元,对应个单元,对应7个段,即每个字有个段,即每个字有7位,位,有有MOS管的单元对应亮段。管的单元对应亮段。10个数字符对应个数字符对应10条字线,每个字的对应位相接。条字线,每个字的对应位相接。2024/7/2540电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-3 PROM PROM(可编程(可编程ROMProgrammable Read-Only Memory)2024/7/2541电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 PROM在在出出厂厂时时,存存储储的的内内容容为为全全 0(或或全全1),用用户户根根据据需需要要,可可将将某某些些单单元元改改写写为为 1(或或 0)。这这种种ROM采采用用熔熔丝丝或或PN结结击击穿穿的的方方法法编编程程,由由于于熔熔丝丝烧烧断断或或PN结结击穿后不能再恢复,击穿后不能再恢复,因此因此PROM只能改写一次。只能改写一次。2024/7/2542电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理熔丝型PROM的存储单元 熔丝型PROM的存储矩阵中,每个存储单元都接有一个存储管,每个存储管的一个电极都通过一根易熔的金属丝接到相应的位线上。2024/7/2543电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理熔丝型PROM的存储单元 用户对PROM编程是逐字逐位进行的。首先通过字线和位线选择需要编程的存储单元,然后通过规定宽度和幅度的脉冲电流,将该存储管的熔丝熔断,这样就将该单元的内容改写了。2024/7/2544电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 采用PN结击穿法PROM的存储单元原理图如下图(a)所示,字线与位线相交处由两个肖特基二极管反向串联而成。PN结击穿法PROM的存储单元2024/7/2545电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 正常工作时二极管不导通,字线和位线断开,相当于存储了“0”。PN结击穿法PROM的存储单元2024/7/2546电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 若将该单元改写为“1”,可使用恒流源产生约 100150 mA电流使V2击穿短路,存储单元只剩下一个正向连接的二极管V1(见图(b),相当于该单元存储了“1”;未击穿V2的单元仍存储“0”。PN结击穿法PROM的存储单元2024/7/2547电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-4 EPROM EPROM(可擦除可编程(可擦除可编程ROMErasable-Programmable Read-Only Memory)2024/7/2548电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 思考题思考题1.EPROM 的特点是什么的特点是什么?2.EROM是如何存储信息是如何存储信息“0”和信息和信息“1”的的?2024/7/2549电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.1 EPROM的特点的特点 用户可以根据具体需要对用户可以根据具体需要对EPROM存储存储的信息进行擦除和重写。的信息进行擦除和重写。擦除是用紫外线或擦除是用紫外线或X射线擦除器对芯片射线擦除器对芯片进行照射(约进行照射(约30分钟),信息是一次性全部分钟),信息是一次性全部擦除,不能逐字或部分擦除;擦除,不能逐字或部分擦除;写入时使用专用编程器进行写入(需要写入时使用专用编程器进行写入(需要较高的电压),信息写入后掉电不丢失。较高的电压),信息写入后掉电不丢失。擦除和写入都要脱机进行,即不能在线擦除和写入都要脱机进行,即不能在线擦除和写入。因此,擦除和写入。因此,EPROM是用来存储相是用来存储相对固定的信息。对固定的信息。2024/7/2550电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.2 FAMOS结构存储单元结构存储单元 1.FAMOS 器件结构器件结构 FAMOS管的栅极四周被绝管的栅极四周被绝缘介质包围,是浮空的,所以缘介质包围,是浮空的,所以称为称为“浮栅浮栅”。FAMOS管的浮栅上初始状管的浮栅上初始状态是没有电荷的,处于截止状态是没有电荷的,处于截止状态,当浮栅上有足够的电荷时,态,当浮栅上有足够的电荷时,处于导通状态。这两种状态分处于导通状态。这两种状态分别代表存有别代表存有“1”和和“0”。Floating-gate Avalance-injection MOS 浮栅雪崩注入浮栅雪崩注入MOSN-sub SiP+P+SDP沟沟FAMOS2024/7/2551电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.2 FAMOS结构存储单元结构存储单元 2.FAMOS浮栅充电原理浮栅充电原理N-sub SiP+P+SDN-sub SiP+P+SD0V-30V 漏极加较高的负电压漏极加较高的负电压时,时,漏区漏区pn结沟道一侧表面的耗结沟道一侧表面的耗尽层中发生尽层中发生雪崩倍增雪崩倍增,由此,由此产生的高能电子越过产生的高能电子越过Si-SiO2界面势垒,并在界面势垒,并在SiO2中电场中电场作用下进入浮栅,当浮栅带作用下进入浮栅,当浮栅带上足够多的负电荷时,上足够多的负电荷时,MOS管处于导通态。管处于导通态。2024/7/2552电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.2 FAMOS结构存储单元结构存储单元 2.FAMOS浮栅充电原理浮栅充电原理 如果用紫外线或如果用紫外线或X射线照射射线照射FAMOS管的栅管的栅极氧化层,则极氧化层,则SiO2层中将产生电子层中将产生电子-空穴对,为空穴对,为浮置栅上的电荷提供泄放通道,使之放电。浮置栅上的电荷提供泄放通道,使之放电。漏极和源极间的高负电压去掉以后,由于漏极和源极间的高负电压去掉以后,由于注入到栅极上的电荷没有放电通路,所以能长注入到栅极上的电荷没有放电通路,所以能长久保存下来。在久保存下来。在+125oC的环境温度下,的环境温度下,70%以以上的电荷能保存上的电荷能保存10年以上。年以上。2024/7/2553电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.2 FAMOS结构存储单元结构存储单元 3.FAMOS存储单元阵列存储单元阵列X0Xn-1Y0Ym-1VS 实际电路中每实际电路中每个存储单元由一个个存储单元由一个普通普通PMOS管和一个管和一个FAMOS管组成。普管组成。普通通PMOS管作为门控管作为门控管,管,其栅极为字线,其栅极为字线,漏及为位线,漏及为位线,位线是存储单元数据输入输出端口。位线是存储单元数据输入输出端口。2024/7/2554电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.2 FAMOS结构存储单元结构存储单元 3.FAMOS存储单元阵列存储单元阵列X0Xn-1Y0Ym-1VS 出厂时所有出厂时所有FAMOS管都处于管都处于截截止状态。止状态。在进行写入在进行写入操作时,通过地址译操作时,通过地址译码,使需要写入信息码,使需要写入信息的单元所在的字线为的单元所在的字线为低电平。然后在要写低电平。然后在要写入入“0”的的 单元的位线上加负脉冲。单元的位线上加负脉冲。2024/7/2555电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.2 FAMOS结构存储单元结构存储单元 3.FAMOS存储单元阵列存储单元阵列X0Xn-1Y0Ym-1VS 读出时,在需读出时,在需要读出数据的字线要读出数据的字线上加低电平,则该上加低电平,则该字线上导通的字线上导通的FAMOS管向相应的管向相应的位线输出位线输出“0”,不,不导通则输出导通则输出“1”。2024/7/2556电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.3 SIMOS结构存储单元结构存储单元Stacked-gate Injection MOS 叠栅注入叠栅注入MOSP-sub SiN+N+N沟沟SIMOS管管SDG 采用采用FAMOS管的存储单管的存储单元需要用两只元需要用两只MOS管,所以单管,所以单元面积较大,而且产生雪崩击元面积较大,而且产生雪崩击穿所需要的电压也比较高。穿所需要的电压也比较高。1.SIMOS器件结构器件结构2024/7/2557电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.3 SIMOS结构存储单元结构存储单元 P-sub SiN+N+N沟沟SIMOS管管SDG SIMOS管是双层多晶栅结管是双层多晶栅结构,下层多晶称为构,下层多晶称为“浮栅浮栅”,上层多晶为控制栅。上层多晶为控制栅。SIMOS管的浮栅上没有电管的浮栅上没有电荷时,开启电压较低,当浮栅荷时,开启电压较低,当浮栅上有负电荷时,开启电压升高。上有负电荷时,开启电压升高。因而,控制栅接高电平时,就因而,控制栅接高电平时,就有导通和截止之分,分别代表有导通和截止之分,分别代表存有存有“0”和和“1”。1.SIMOS器件结构器件结构2024/7/2558电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.3 SIMOS结构存储单元结构存储单元 2.SIMOS浮栅充电原理浮栅充电原理P-sub SiN+N+SDGP-sub SiN+N+SDG 在漏和源之间加较高的在漏和源之间加较高的电压,使电子加速,电压,使电子加速,“热电热电子子”能量超过能量超过SiO2-Si界面界面势垒,再借助于控制栅势垒,再借助于控制栅G上上附加的正电压,电子注入到附加的正电压,电子注入到浮栅中,浮栅带负电,开启浮栅中,浮栅带负电,开启电压变高。电压变高。+V+VVss 同样可以用紫外同样可以用紫外线擦除。线擦除。2024/7/2559电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.4.3 SIMOS结构存储单元结构存储单元 3.SIMOS存储单元阵列存储单元阵列 每个存储单每个存储单元由元由SIMOS管组管组成。其控制栅极成。其控制栅极为字线,漏极是为字线,漏极是存储单元数据输存储单元数据输入输出端口,为入输出端口,为位线。位线。X0Xn-1Y0Ym-1VS2024/7/2560电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-5 EEPROM EEPROM(电可擦除可编程(电可擦除可编程ROMElectrically Erasable-Programmable Read-Only Memory)2024/7/2561电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 思考题思考题1.EEPROM 的特点是什么的特点是什么?2.EEROM是如何存储信息是如何存储信息“0”和信息和信息“1”的的?2024/7/2562电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.1 EEPROM的特点的特点 信息写入后掉电不丢失。信息写入后掉电不丢失。用户可以根据具体需要对用户可以根据具体需要对EEPROM存储存储的信息进行擦除和重写。的信息进行擦除和重写。擦除和写入可以在线进行,也可以使用擦除和写入可以在线进行,也可以使用专用编程器进行。专用编程器进行。信息可以一次全部擦写,也可以逐字、信息可以一次全部擦写,也可以逐字、逐位或分区擦写;擦写过程需要较高电压,逐位或分区擦写;擦写过程需要较高电压,目前一般在片内产生。目前一般在片内产生。由于由于E2PROM在线擦写速度较慢,一般用在线擦写速度较慢,一般用来存储不需要在线更改且相对固定的信息。来存储不需要在线更改且相对固定的信息。2024/7/2563电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.2 Flotox结构存储单元结构存储单元 1.Flotox 器件结构器件结构 Floating-gate tunnel oxide浮栅隧道氧化物浮栅隧道氧化物 加在控制栅加在控制栅Gc和漏极和漏极D上的电压是通过上的电压是通过浮置栅浮置栅-漏漏极间的电容极间的电容和和浮置栅浮置栅-控制栅间的电容控制栅间的电容分压加在隧道分压加在隧道区上的。为了使加在隧道区上的电压尽量大,需要尽区上的。为了使加在隧道区上的电压尽量大,需要尽P-sub SiN+SDGcN+埋埋N+Gf Flotox与与SIMOS管相似,有两个栅极管相似,有两个栅极控制栅控制栅Gc和浮置栅和浮置栅Gf。不同的是。不同的是Flotox管的浮置栅与漏区之管的浮置栅与漏区之间有一个氧化层极薄的区域,称为间有一个氧化层极薄的区域,称为隧道区隧道区。当隧道氧化层中的电场达到当隧道氧化层中的电场达到107V/cm以上时,电以上时,电子可以穿越隧道氧化层,对浮栅充电或放电,过程可子可以穿越隧道氧化层,对浮栅充电或放电,过程可逆,这种现象称为隧道效应。逆,这种现象称为隧道效应。隧道区隧道区2024/7/2564电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.2 Flotox结构存储单元结构存储单元 1.Flotox 器件结构器件结构 为了提高擦、写的可靠为了提高擦、写的可靠性,并保护隧道区超薄氧化性,并保护隧道区超薄氧化层,在构成层,在构成E2PROM存储单存储单元时附加了一个选通管,如元时附加了一个选通管,如右图所示。右图所示。Gc T1 T2Wi(字线字线)位位线线 BjD1 S1量减小浮置栅和漏区间的电容,量减小浮置栅和漏区间的电容,因而要求把隧道区的面积做得因而要求把隧道区的面积做得非常小。非常小。P-sub SiN+SDGcN+埋埋N+Gf2024/7/2565电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.2 Flotox结构存储单元结构存储单元 2.工作原理工作原理 (1)读状态读状态 Gc加上加上+3V电压,字线电压,字线Wi加加+5V的正的正常高电平,如下图所示。常高电平,如下图所示。+3VGc T1 T2Wi +5V位位线线 BjD1 S1 这这 时时 T2导导 通通,如如 果果Floxtox管管的的浮浮置置栅栅上上没没有有充充负负电电荷荷,则则T1导导通通,在在位位线线Bj上读出上读出“0”。如如果果Flotox管管的的浮浮置置栅栅冲冲有有负负电电荷荷,则则T1截截止止,在在位位线线Bj上读出上读出“1”。2024/7/2566电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.2 Flotox结构存储单元结构存储单元 2.工作原理工作原理 这时经这时经Gc-Gf间电容和间电容和Gf-漏区电容分压在隧道漏区电容分压在隧道区产生强电场,区产生强电场,吸引漏区的电子通过隧道区到达浮置吸引漏区的电子通过隧道区到达浮置栅,形成存储电荷,使栅,形成存储电荷,使Flotox管的开启电压提高管的开启电压提高到到+7V以上,成为高开启以上,成为高开启电压管。电压管。读出时读出时Gc上的电上的电压只有压只有+3V,Flotox管管不会导通。表示读出不会导通。表示读出数据为数据为“1”。+20VGc T1 T2Wi +20V 0V位位线线 BjD1 S1 (2)擦除擦除(写写“1”)状态状态 Flotox管的控制栅管的控制栅Gc和和字线字线Wi加加+20V左右、宽度为左右、宽度为10ms的脉冲电压,漏区的脉冲电压,漏区接接0电平,电平,2024/7/2567电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.2 Flotox结构存储单元结构存储单元 2.工作原理工作原理 (3)写入写入(写写“0”)状态状态 写入写入“0”就是使该单就是使该单元元Flotox管浮置栅放电。管浮置栅放电。0VGc T1 T2Wi +20V 20V位位线线 BjD1 S1 为为此此,在在写写入入0时时令令控控制制栅栅Gc为为0电电平平,同同时时在在字字线线Wi和和位位线线Bj上上加加+20V左左右右、宽宽度为度为10ms的脉冲电压,的脉冲电压,这这时时浮浮置置栅栅上上的的存存储储电电荷荷降降通过隧道区放电,使通过隧道区放电,使Flotox管管 开启电压降至开启电压降至0V左右,成为左右,成为低开启电压管读出时低开启电压管读出时Gc上加上加+3V电压,电压,Flotox管导通,管导通,读出读出“0”。2024/7/2568电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.5.2 Flotox结构存储单元结构存储单元 3.Flotox 结构的结构的 存储单元阵列存储单元阵列X0Xn-1VSY0Ym-1D0DkVCG EEPROM的擦写方式有的擦写方式有多种,不同的多种,不同的擦写方式有不擦写方式有不同的阵列连接同的阵列连接方式。方式。2024/7/2569电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-6 Flash Memory 及电荷泵及电荷泵2024/7/2570电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理快闪存储器(快闪存储器(Flash Memory)就是针对)就是针对此缺点研制的。此缺点研制的。采用新型隧道氧化采用新型隧道氧化层层MOS管。管。EEPROM的缺点:擦写需要高电压脉冲;擦写时间长;存储的缺点:擦写需要高电压脉冲;擦写时间长;存储单元需两只单元需两只MOS管。管。1.隧道层在源区;隧道层在源区;2.隧道层更薄隧道层更薄1015nm。在控制栅和源极间加。在控制栅和源极间加12V电压即电压即可使隧道导通。可使隧道导通。该管特点:该管特点:2024/7/2571电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理存储单元的工作原理:存储单元的工作原理:1.写入利用雪崩注入法。源极接地;写入利用雪崩注入法。源极接地;漏极接漏极接6V;控制栅;控制栅12V脉冲,宽脉冲,宽10 s。2.擦除用隧道效应。控制栅接地;擦除用隧道效应。控制栅接地;源极接源极接12V脉冲,宽为脉冲,宽为100ms。因。因为片内所有叠栅管的源极都连在为片内所有叠栅管的源极都连在一起,所以一个脉冲就可擦除全一起,所以一个脉冲就可擦除全部单元。部单元。3.读出:源极接地,字线为读出:源极接地,字线为5V逻逻辑高电平。辑高电平。6V0V12V10 s0V12V100ms快闪存储器特点:集成度高,容量大,成本低,使用方便。快闪存储器特点:集成度高,容量大,成本低,使用方便。5V2024/7/2572电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理片内高压产生电路(电荷泵)片内高压产生电路(电荷泵)为了方便用户在线编为了方便用户在线编程,通常设计片内自产生程,通常设计片内自产生高压电路。高压电路。(1)电荷泵(升压)原理电荷泵(升压)原理设初始:设初始:Vx=0,VA=VDD-VT,QC=C(VDD-VT)VB=VDD-2VT,QL=CL(VDD-2VT)Vx=1时,自举使时,自举使VA=2VDD-VT,M1截止,截止,M2导通导通C和和CL进行电荷在分配进行电荷在分配,VB上升上升Vx=0时,时,VA回落回落,M1导通补充导通补充QC,M2截止使截止使VB保持保持如此往复,最终使如此往复,最终使VB=2VDD-2VT (忽略衬偏效应等)(忽略衬偏效应等)2024/7/2573电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理片内高压产生电路(电荷泵)片内高压产生电路(电荷泵)VddVppClk 采用适当的级数级联,交替自举,电压逐采用适当的级数级联,交替自举,电压逐级升高。经过一定周期,可达到稳定值。级升高。经过一定周期,可达到稳定值。(2)高压产生电路高压产生电路VPP=N(VDD-VT)(忽略衬偏效应等)(忽略衬偏效应等)2024/7/2574电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6-7 SRAM SRAM(静态随机存取存储器(静态随机存取存储器Static Random-Access Memory)2024/7/2575电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理 思考题思考题1.SRAM 的特点是什么的特点是什么?2.SRAM是如何存储信息是如何存储信息“0”和信息和信息“1”的的?3.SRAM读出放大器的作用是什么?读出放大器的作用是什么?4.多端口多端口SRAM的优点是什么?的优点是什么?2024/7/2576电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.1 SRAM的特点的特点 SRAM是数字系统的重要组成部分,即是数字系统的重要组成部分,即使不同的系统也可以使用相同的使不同的系统也可以使用相同的SRAM,因,因此此SRAM是一种能大量生产的标准电路,目是一种能大量生产的标准电路,目前嵌入式前嵌入式SRAM已占有相当重要地位。已占有相当重要地位。数字系统可根据需要在工作中对数字系统可根据需要在工作中对SRAM存储的信息随时进行读取和重新写入。存储的信息随时进行读取和重新写入。SRAM的核心部分是一个双稳态触发器的核心部分是一个双稳态触发器存储单元,存储单元,存储的信息在掉电后将全部丢失,存储的信息在掉电后将全部丢失,一般用来存储临时缓存数据。一般用来存储临时缓存数据。2024/7/2577电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.2 SRAM存储单元电路存储单元电路 SRAM的存储单元是的存储单元是一个双稳态一个双稳态RS触发器。触发器。WBBWBBWBB2024/7/2578电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.3 SRAM存储单元工作原理存储单元工作原理 单元被选中时,字线单元被选中时,字线(W)为为“1”,打开门,打开门控管;位线(数据通路)被打开。控管;位线(数据通路)被打开。WBB 写入时,外部送到位线写入时,外部送到位线(B和和B)的数据强迫双稳态单的数据强迫双稳态单元处于对应的一个稳态。元处于对应的一个稳态。读出时,单元中存储的读出时,单元中存储的数据经过打开的门控管传到数据经过打开的门控管传到位线位线(B和和B)输出。输出。字线恢复为字线恢复为“0”,数据,数据通路关闭,读或写过程结束。通路关闭,读或写过程结束。2024/7/2579电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.4 SRAM存储单元器件尺寸存储单元器件尺寸(1)“错写错写”现象现象读操作时读操作时W=1,B通过导通的通过导通的M5和和M2放电。放电。假设初始假设初始Q=0(储存),(储存),B=1(遗留或预充)。(遗留或预充)。WB BQM5M4M3M2M1M6 放电初始,放电初始,Q电位会上电位会上升,如果上升幅度较大,升,如果上升幅度较大,超过了超过了M4的阈值电压,的阈值电压,单单元状态就会发生意外翻转元状态就会发生意外翻转,即发生意外的即发生意外的“错写错写”现现象。象。2024/7/2580电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.4 SRAM存储单元器件尺寸存储单元器件尺寸(2)可靠尺寸设计可靠尺寸设计 为了避免为了避免 读时的读时的“错写错写”,要求,要求M5(M6)尺寸)尺寸小于小于M2(M4),由此来抑制放电过程中),由此来抑制放电过程中Q和和Q电位的电位的上升幅度。上升幅度。通常将通常将M5(M6)和)和M1(M3)的尺寸设计成)的尺寸设计成工艺允许的最小尺寸,工艺允许的最小尺寸,而而M2(M4)的宽度适当)的宽度适当增大。增大。WBBQM5M4M3M2M1M6Q2024/7/2581电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理WBB6.7.5 SRAM存储单元版图存储单元版图 SRAM存储阵列存储阵列中的每个单元均是相中的每个单元均是相同的,同的,每个单元都有每个单元都有公共的电源和地线,公共的电源和地线,每行上的单元有公共每行上的单元有公共的字线,的字线,每列上的单每列上的单元有公共的位线。元有公共的位线。因因此,单元版图设计时,此,单元版图设计时,因考虑公用端的衔接,因考虑公用端的衔接,减小单元面积。减小单元面积。2024/7/2582电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.6 SRAM的数据输入的数据输入/输出电路输出电路DinBBDoutW/Rbuf1buf2buf写写:W/R为为“1”时,输入三态缓冲器时,输入三态缓冲器buf1和和buf2打开,打开,数据数据Din被传送到位线被传送到位线B和和B;同时输出三态缓冲器;同时输出三态缓冲器buf被锁住,输出保持原来数据被锁住,输出保持原来数据Dout。读:读:W/R为为“0”时,输入三态缓冲器时,输入三态缓冲器buf1和和buf2被锁被锁住,输出三态缓冲器住,输出三态缓冲器buf被打开,被选存储单元送到位被打开,被选存储单元送到位线线B和和B上的数据被输出到上的数据被输出到Dout。2024/7/2583电子科学与技术电子科学与技术集成电路设计原理集成电路设计原理6.7.7 SRAM的读出放大电路的读出放大电路 由于追求存储单元单元由于追求存储单元单元面积小、功耗低,器件尺寸面积小、功耗低,器件尺寸设计的较小,因而驱动能力设计的较小,因而驱动能力很弱,然而位线上寄生电容很弱,然而位线上寄生电容又较大,又较大,因此,数据输出时因此,数据输出时在位线上产生的信号很弱,在位线上产生的信号很弱,必须经过放大。必须经过放大。同时应还采同时应还采用提高速度、降低功耗措施。用提高速度、降低功耗措施。为为“0”时,放大器与地断路,降低功耗;同时平衡预时,放大器与地断路,降低功耗;同时平衡预充电路使放大器两端充电路使放大器两端B和和B平衡并预充为平衡并预充为“1”为为“
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!