数字电路总复习课件

上传人:仙*** 文档编号:241426009 上传时间:2024-06-25 格式:PPTX 页数:134 大小:1.02MB
返回 下载 相关 举报
数字电路总复习课件_第1页
第1页 / 共134页
数字电路总复习课件_第2页
第2页 / 共134页
数字电路总复习课件_第3页
第3页 / 共134页
点击查看更多>>
资源描述
1总复习第一章第一章 数制与码制数制与码制 3学时学时 5%1.1数制数制 1.基本概念:包括基数,数位,位权,表示方式等。基本概念:包括基数,数位,位权,表示方式等。2.常用数制:包括二进制,八进制,十进制,十六进常用数制:包括二进制,八进制,十进制,十六进制。制。3.数制转换:数制转换:(1)十进制与非十进制(即指二,八,十六进制),)十进制与非十进制(即指二,八,十六进制),非十进制内相互转换。非十进制内相互转换。(2)转换中考虑)转换中考虑“精度精度”要求。要求。21.2码制码制1.二进制码:熟悉自然二进制码,格雷码,奇二进制码:熟悉自然二进制码,格雷码,奇偶校验码的编码方式。偶校验码的编码方式。2.二十进制码:掌握二十进制码:掌握8421BCD码的编码方式;码的编码方式;熟悉其他类型熟悉其他类型BCD码。码。3第第2章章 逻辑代数基础逻辑代数基础 8学时学时 11%2.1 概述概述掌握逻辑代数的基本概念。掌握逻辑代数的基本概念。2.2 逻辑代数中的运算逻辑代数中的运算2.3 逻辑代数的公式逻辑代数的公式掌握逻辑代数的基本公式。掌握逻辑代数的基本公式。2.4 逻辑代数的基本规则逻辑代数的基本规则掌握逻辑代数的基本规则。掌握逻辑代数的基本规则。2.5 逻辑函数的表达式逻辑函数的表达式2.6 逻辑函数的化简逻辑函数的化简1.掌握逻辑函数的描述方式(真值表、逻辑表达式、卡诺图)及其相互转换方法。掌握逻辑函数的描述方式(真值表、逻辑表达式、卡诺图)及其相互转换方法。2.了解逻辑函数的最简与或式的公式化简法。了解逻辑函数的最简与或式的公式化简法。3.掌握完全、非完全描述逻辑函数的最简与或式的卡诺图(掌握完全、非完全描述逻辑函数的最简与或式的卡诺图(4变量及以下)化简法。变量及以下)化简法。4第第3章章 逻辑门电路逻辑门电路 4学时学时 6%3.1MOSFET的开关特性的开关特性3.2 CMOS门电路门电路掌握掌握MOS场效应管的开关特性和有关参数,场效应管的开关特性和有关参数,掌握掌握CMOS反相器的功能和主要外部电气特性,反相器的功能和主要外部电气特性,了解了解CMOS与非门、或非门、与非门、或非门、OD门、三态门的门、三态门的工作原理。工作原理。5第第4章章 组合逻辑电路组合逻辑电路 10学时学时 16%4.1 SSI组合电路的分析与设计组合电路的分析与设计掌握由掌握由SSI构成的组合电路的分析与设计方法。构成的组合电路的分析与设计方法。4.2 MSI组合电路组合电路1.了解常用了解常用MSI组合电路(组合电路(74138、74139、74151、74153、7485、74283)的功能和典型应用。)的功能和典型应用。2.掌握用掌握用MSI组合电路(组合电路(74138、74139、74151、74153、7485、74283)实现组合逻辑设计的方法。)实现组合逻辑设计的方法。4.3 竞争和冒险竞争和冒险1.了解组合电路的竞争冒险概念。了解组合电路的竞争冒险概念。2.掌握判断逻辑冒险和功能冒险的方法,掌握消除掌握判断逻辑冒险和功能冒险的方法,掌握消除/避免冒险的方避免冒险的方法(加多余项法、加取样脉冲法)。法(加多余项法、加取样脉冲法)。6第第5章章 触发器触发器 6学时学时 8%5.1 概述概述5.2基本基本SR触发器触发器5.3 钟控电位触发器钟控电位触发器1.掌握基本掌握基本SR触发器的结构、工作原理和逻辑功能。触发器的结构、工作原理和逻辑功能。2.掌握描述触发器逻辑功能的各类方法(功能表、次态方程、激掌握描述触发器逻辑功能的各类方法(功能表、次态方程、激励表、波形图)。励表、波形图)。5.4常用触发器常用触发器了解常用触发器(维阻了解常用触发器(维阻DFF、边沿、边沿JKFF)的工作原理。)的工作原理。5.4 触发器逻辑功能的转换触发器逻辑功能的转换掌握触发器电路输出波形的绘制及触发器功能转换方法(公式掌握触发器电路输出波形的绘制及触发器功能转换方法(公式法、列表图解法)。法、列表图解法)。7第六章 时序逻辑电路 16学时学时 26%一、时序逻辑电路的基本概念 二、一般时序逻辑电路的分析和设计方法三、寄存器和移存器四、计数器五、序列码发生器8一、时序逻辑电路的基本概念 1.定义 2.结构特点 (1)电路由组合电路和存储电路构成,含记忆元件;(2)电路中含有从输出到输入的反馈回路;3.功能描述 状态转移表;状态转移图;功能表;表达式;卡诺图;电路图;波形图 9二、一般时序逻辑电路的分析和设计方法1.分析步骤 组合电路、存储电路(1)分析电路结构 输入信号X、输出信号Z(2)写出四组方程 时钟方程 各触发器的激励方程 10各触发器的次态方程 电路的输出方程(3)作状态转移表、状态转移图或波形图(4)电路的逻辑功能描述 作状态转移表时,先列草表,再从初态(预置状态或全零状态)按状态转移的顺序整理。112.设计步骤 (1)根据要求,建立原始状态转移表或原始状态转移图;输入/出变量个数;状态间的转换关系(输入条件、输出要求)状态个数;12(2)化简原始状态转移表(状态简化或状态合 并);进行顺序比较,作隐含表作状态对图进行关联比较作最简状态转移表a.列出所有的等价对。b.列出最大等价类。c.进行状态合并,并列出最简状态表。13三、寄存器和移存器1.寄存器和移存器电路结构特点2.典型MSI移存器的功能及其典型应用(1)74194的简化符号、功能表(2)用74194实现串、并行转换四、计数器1.由SSI构成的二进制计数器的一般结构(1)同步计数器(2)异步计数器142.典型MSI二进制、十进制计数器的功能 3.任意进制计数器(1)用触发器和逻辑门设计任意进制计数器(2)用MSI计数器构成任意进制计数器 复0法(利用复位端)置数法(利用置数控制端,并行输入端)a.置最小数法 b.预置0法 c.置最大数法 15五、序列码发生器1.序列码发生器结构类型 2.计数型序列码发生器的设计(已知序列码)3.移存型序列码发生器的设计(已知序列码)16f1fm组合逻辑模M计数器QnQn-1Q1图6.6.1 计数型序列码发生器的结构图17图6.6.2 反馈移存型序列码发生器的结构图组合逻辑QnQn-1Q1FnFn-1F1CPf18第八章 D/A和A/D变换 3学时学时 5%一、D/A转换的一般原理 二、A/D转换的一般过程三、DAC和ADC的主要技术指标19数码寄存器模拟 开关译码 网络求和放大器DuA参考电源UREF图8.1.1 DAC方框图一、D/A转换的一般原理 201.采样和保持 2.量化与编码 舍尾方法 四舍五入方法 二、A/D转换的一般过程三、DAC和ADC的主要技术指标1.精度:用分辨率、转换误差表示 2.速度:用转换时间、转换速率表示 21第九章 半导体存储器 2学时学时 3%一、各种半导体存储器的工作特点二、ROM、RAM存储容量的扩展方法三、用ROM实现组合电路的方法221.只读存储器(ROM)2.随机存储器(RAM)一、各种半导体存储器的工作特点二、ROM、RAM存储容量的扩展方法1.位扩展 2.字扩展 23三、用ROM实现组合电路的方法111(D1)(D0)F2F1ABC24第十章 可编程逻辑器件 5学时学时 8%一、PLD器件的分类 二、各种PLD器件的基本结构25一、PLD器件的分类 图10.3.1 PLD的密度分类可编程逻辑器件PLD低密度可编程逻辑器件LDPLD高密度可编程逻辑器件HDPLDPROM PLAPALGALCPLDFPGA1.PLD的集成度分类 262.PLD的制造工艺分类(1)一次性编程的PLD(2)紫外线可擦除的PLD(EPLD)(3)电可擦除的PLD(EEPLD)(4)采用SRAM结构的PLD27名称与阵列或阵列输出部分PROM固定可编程固定PLA可编程可编程固定PAL可编程固定固定GAL可编程固定可配置二、各种PLD器件的基本结构28第十一章 数字系统设计基础 8学时学时 12%一、数字系统的模型、设计步骤 二、ASM图的作法29一、数字系统的模型、设计步骤 输出接口数据处理器输入接口输入接口控制器输出接口外部输入控制信号时钟输入信号状态信号控制信号数字逻辑子系统输出信号外部输出控制信号图11.1.1 数字系统的一般模型30二、ASM图的作法从算法流程图 ASM图 原则1:在算法的起始点安排一个状态;原则2:必须用状态来分开不能同时实现的寄存器传输操作;原则3:判断如果受寄存器操作的影响,应在 它们之间安排一个状态。3125 六月 2024第一章 数制与码制31十进制转换为二进制十进制转换为二进制方法:方法:基数乘除法(整数部分用除基数乘除法(整数部分用除2取余法;小取余法;小 数部分用乘数部分用乘2取整法)取整法)例例2:(57)D=(?)B例例3:(0.6875)D=(?)B节目录节目录第一章第一章 数制和码制数制和码制3225 六月 2024第一章 数制与码制32例例2.解:解:5722821427232120余数余数100111有效位有效位k0(最低位)k5(最高位)k1k2k3k4所以:所以:(57)D=(111001)B节目录节目录3325 六月 2024第一章 数制与码制33例例3.解:解:0.6875整数整数21.375010.75000121.500021.000012有效位有效位k-1(最高位)k-2k-3k-4(最低位)所以:所以:(0.6875)D=(0.1011)B节目录节目录3425 六月 2024第一章 数制与码制34(3)小数的精度及转换位数的确定小数的精度及转换位数的确定n位位R进制小数的精度进制小数的精度 R-n例例1:(0.12)10 的精度为的精度为10-2例例2:(0.101)2 的精度为的精度为2-3转换位数的确定转换位数的确定2-n 0.1,解:设二进制数小数点后有解:设二进制数小数点后有n位小数,位小数,则其精度为则其精度为 2-n,由题意知:,由题意知:例例3:(0.39)10=(?)2,要求精度达到,要求精度达到 0.1。解得解得 n 10。所以所以 (0.39)10=(0.0110001111)2。节目录节目录352.12.1有有A A、B B、C C三个输入信号,试列出下列问题的三个输入信号,试列出下列问题的真值表,并写出其最小项表达式真值表,并写出其最小项表达式 m()m()。(1)如果如果A、B、C均为均为0或其中一个信号为或其中一个信号为1时,时,输出输出F=1,其余情况下,其余情况下F=0。(2)若若A、B、C中出现奇数个中出现奇数个0时输出为时输出为1,其余,其余情况下输出为情况下输出为0。(3)若若A、B、C中有两个或两个以上为中有两个或两个以上为1时,输出时,输出为为1,其余情况下输出为,其余情况下输出为0。36A B CF1 F2 F30 0 01 1 00 0 11 0 0 0 1 01 0 00 1 10 1 11 0 01 0 01 0 10 1 11 1 00 1 11 1 10 0 1解:真值表和最小项表达式如下:解:真值表和最小项表达式如下:372.4试直接写出下列各式的反演式和对偶式。试直接写出下列各式的反演式和对偶式。382.12 用卡诺图法把下列函数用卡诺图法把下列函数 化简为最简与或式。化简为最简与或式。011111011010110100ABC3911101111101110010110100ABCD401011111010010110100ABCD412.14 已知421101111010110100ABC11101010110100ABCA1011101010110100BC43第三章门电路&“1”多余输入端的处理与信号端并接;经一个电阻(大于1 )接电源正极;接地。悬空引脚为1.4V左右典型TTL与非门44(b)逻辑符号1APCMOS反相器(1)UIL=0V (2)UIH=VDDT1、T2 构成一种推拉式输出。故输出端不能并接实现“线与”功能。T1止、T2通T1通、T2止45例1 写出下图电路的输出表达式。ENEN1AB BENEN1F1&解:当B=0时,当B=1时,F=A;F=A。所以,F=AB+ABA1A0BF的卡诺图46图图 P 4.2 第四章第四章 组合逻辑电路组合逻辑电路4.24.2 分析图分析图P4.2P4.2电路的逻辑功能。电路的逻辑功能。47解:解:(1)(1)从输入端开始,逐级推导出函数表达式从输入端开始,逐级推导出函数表达式 F1=A B CF2=A(B C)+BC =A BC+ABC+ABC+ABC(2)列真值表列真值表 48A B CF1 F20 0 00 00 0 11 10 1 01 10 1 10 11 0 01 01 0 10 01 1 00 01 1 11 1(3)确定逻辑功能确定逻辑功能 假设变量假设变量A、B、C和和函数函数F1、F2均表示一均表示一位二进制数,那么,位二进制数,那么,由真值表可知,该电由真值表可知,该电路实现了路实现了全减器全减器的功的功能。能。49A、B、C、F1、F2分别表示被减数、减数、来自分别表示被减数、减数、来自低位的借位、本位差、本位向高位的借位。低位的借位、本位差、本位向高位的借位。ABCF1F2被减数 减 数 借 位 差 504.12 试用试用74138设计一个多输出组合网络,它的设计一个多输出组合网络,它的输入是输入是4位二进制码位二进制码ABCD,输出为:,输出为:F1:ABCD是是4的倍数。的倍数。F2:ABCD比比2大。大。F3:ABCD在在811之间。之间。F4:ABCD不等于不等于0。51解:由题意,各函数是解:由题意,各函数是4 4变量函数,故须将变量函数,故须将7413874138扩展为扩展为4-164-16线译码器,让线译码器,让A A、B B、C C、D D分别接分别接4-164-16线译码器的地址端线译码器的地址端 A A3 3、A A2 2、A A1 1、A A0 0,可写出,可写出各函数的表达式如下:各函数的表达式如下:=m0 m4 m8 m12=Y0 Y4 Y8 Y1252=m8 m9 m10 m11=m0 m1 m2=Y0 Y1 Y2=Y8 Y9 Y10 Y11=Y053实现电路如下图所示:实现电路如下图所示:413544.14 试用试用74151实现下列函数:实现下列函数:解:解:(1)函数有函数有4个输入变量个输入变量,而,而74151的地址端的地址端只有只有3个,即个,即A2、A1、A0,故须对函数的卡诺,故须对函数的卡诺图进行降维,即降为图进行降维,即降为3维。维。5510111101110010110100ABCD 00001DDDD010110100ABCD6D7D5D41D2D3D1D0010110100A2A1A0D0=D3=D,D1=D2=D,D4=D5=D6=D7=0 令令A=A2、B=A1、C=A0 则:则:56相应的电路图如下所示:相应的电路图如下所示:57(4)函数有函数有4个输入变量个输入变量,而,而74151的地址端只有的地址端只有3个,即个,即A2、A1、A0,故须对函数的卡诺图进,故须对函数的卡诺图进行降维,即降为行降维,即降为3 维。维。101111101110010110100ABCDD6D7D5D41D2D3D1D0010110100A2A1A0 1D00100DD010110100ABC58D0=D7=D,D1=D,D2=D3=D4=D5=0。D6=1,相应的电路图相应的电路图如右图所示:如右图所示:令令A=A2、B=A1、C=A0 则:则:594.18 用用74283将将8421BCD码转换为余码转换为余3BCD码。码。解:由于同一个十进制数码的余解:由于同一个十进制数码的余3BCD码比相应码比相应的的8421BCD码大码大 3,故用一片,故用一片74283既可以实现,既可以实现,电路图如下所示:电路图如下所示:604.20 用用74283将将8421BCD码转换为码转换为5421BCD码。码。解法解法1:当一个十进制数码大于等于当一个十进制数码大于等于5时,其时,其5421BCD码比相应的码比相应的8421BCD码大码大 3,其余情况,其余情况下,两种下,两种BCD码一样,故用一片码一样,故用一片7485和一片和一片74283可以实现,电路图如下所示:可以实现,电路图如下所示:618421BCD码转换为码转换为5421BCD码电路图一码电路图一 5421BCD008421BCD0100010624.21 设设A=A3 A2 A1 A0,B=B3 B2 B1 B0 是两个是两个4位位二进制数。试用二进制数。试用7485和和74157(四二选一(四二选一MUX)构成一个比较电路并能将其中大数)构成一个比较电路并能将其中大数输出。试画出逻辑图。输出。试画出逻辑图。631D01D12D02D13D03D14D04D1A0EN741571Y2Y3Y4YB0A0B1A1B2A2B3A3B0A0B1A1B2A2B3A3010大 数 输 出题题4.21 图图 64第五章第五章 触发器触发器(1)SRFF (2)DFF(3)JKFF(4)TFF (5)TFF Qn+1=S+RQn SR=0 Q Qn+1n+1=D=DQn+1=JQn+KQnQn+1=TQn+TQnQn+1=Qn655.1 基本触发器的逻辑符号与输入波形如图P5.1所示。试作出 Q、Q 的波形。SDRDQ QQ图图 P5.1P5.1节目录节目录66图图 P5.1P5.1节目录节目录675.6 5.6 试写出图试写出图P5.6P5.6各触发器电路的特征方程。各触发器电路的特征方程。1D1(a)(b)(c)图图 P5.6P5.61D(d)节目录节目录(a)特征方程:Qn+1=1CP (b)特征方程:Qn+1=QnCP 68(c)特征方程:Qn+1=QnCP (d)特征方程:Qn+1=JQn+KQnCP节目录节目录1DJK695.105.10 画出图画出图P5.10P5.10中中Q Q端的端的波形。设初态为波形。设初态为“0 0”。CPQ QA解:特征方程为:解:特征方程为:Qn+1=D=QnAQ Q端波形如上所示。端波形如上所示。节目录节目录705.135.13 画出图画出图P5.13P5.13电路中电路中 Q Q1 1和和 Q Q2 2 的波形。的波形。RDCP1CP2节目录节目录71图图 P5.13P5.1372,Q Q1 1 、Q Q2 2 端波形如下所示。端波形如下所示。Q Q1 1RDCP1CP2Q Q2 2解:特征方程为:解:特征方程为:Q2n+1=Q1n CP2Q1n+1=Q2n CP1节目录节目录73746.2 试作出试作出101101序列检测器的状态图。该同步电序列检测器的状态图。该同步电路有一根输入线路有一根输入线X X,一根输出线,一根输出线Z Z,对应于输入,对应于输入序列序列101101的最后一个的最后一个“1 1”,输出,输出Z=1Z=1,其余情况,其余情况下输出为下输出为“0 0”。(1)101序列可以重叠,例如:序列可以重叠,例如:(2)101序列不可以重叠,例如:序列不可以重叠,例如:X:010101101 Z:000101001X:0101011010 Z:0001000010节目录节目录75(1)(1)解:解:输入变量为输入变量为X X、输出变量为、输出变量为Z Z;检测器XCPZ题题6.2(1)6.2(1)的示意图的示意图初态(没有序列信号输入时电路的状态)为初态(没有序列信号输入时电路的状态)为S S0 0,设设X X恰为恰为101101。状态个数的确定;状态个数的确定;节目录节目录76 状态间的转换关系状态间的转换关系S S2 2S S1 1S S0 01/00/01/1题题6.2(1)6.2(1)的状态转移图的状态转移图10101X/Z节目录节目录77题题6.2(1)6.2(1)的原始状态转移图的原始状态转移图0/01/00/011100X/ZS S2 2S S1 1S S0 01/00/01/1节目录节目录78(2)(2)解:解:输入变量为输入变量为X X、输出变量为、输出变量为Z Z;检测器XCPZ题题6.2(2)6.2(2)的示意图的示意图初态(没有序列信号输入时电路的状态)为初态(没有序列信号输入时电路的状态)为S S0 0,设设X X恰为恰为101101。状态个数的确定;状态个数的确定;节目录节目录79 状态间的转换关系状态间的转换关系S S2 2S S1 1S S0 01/00/01/1题题6.2(2)6.2(2)的状态转移图的状态转移图10101X/Z节目录节目录80题题6.2(2)6.2(2)的原始状态转移图的原始状态转移图0/01/00/011100X/ZS S2 2S S1 1S S0 01/00/01/1节目录节目录816.3 对下列原始状态转移表进行简化。对下列原始状态转移表进行简化。S(t)N(t)Z(t)XX0101AAB00BCA01CBD01DDC00表表 习题习题6.3-(1)6.3-(1)节目录节目录82进行顺序比较,作隐含表进行顺序比较,作隐含表解:解:作状态对图作状态对图ABCDCBABCDCBADBC(a)(a)(b)(b)节目录节目录83进行关联比较进行关联比较(c)(c)ABCDCBADBC作最简状态转移表作最简状态转移表a.a.列出所有的等价对。列出所有的等价对。b.b.列出最大等价类。列出最大等价类。c.c.进行状态合并,并列出最简状态表。进行状态合并,并列出最简状态表。BC BC、ADAD将将BCBC合并为状态合并为状态b b,ADAD合并为合并为a a,则,则BC BC、ADAD节目录节目录84S(t)N(t)/Z(t)X=0X=1aa/0b/0bb/0a/1习题习题6.3-(1)6.3-(1)的最简状态转移表的最简状态转移表节目录节目录856.4 试画出用试画出用MSI移存器移存器74194构成构成8位串行位串行并并行码的转换电路(用三片行码的转换电路(用三片74194或两片或两片74194和一个和一个D触发器)。触发器)。解:解:(1)(1)用三片用三片74194构成。构成。节目录节目录86题题6.4 图图1 串入串入节目录节目录87题题6.4 8位串入位串入并出转换电路的状态转移表并出转换电路的状态转移表准备送数010CP7准备右移0110CP6准备右移01110CP5准备右移011110CP4准备右移0111110CP3准备右移01111110CP2准备右移01111111CP1准备送数110清0下一操作Q0M0 M1 D0Q1Q2Q3Q4Q5Q6Q7Q8D0D1D0D1D2D0D1D2D3D0D1D2D3D4D0D1D2D3D4D5D0D1D2D3D4D5D0D1D2D3D4D5D6D6D70000000CP811011111110准备右移0节目录节目录88(2)(2)用两片用两片74194和一个和一个D触发器构成。触发器构成。题题6.4 图图2 串入节目录节目录89串入题题6.4 图图3 节目录节目录90916.17 写出图写出图P6.17电路的状态转移表及模长电路的状态转移表及模长M=?题题 P6.17 节目录节目录929394解:由图知,解:由图知,7416174161的预置数为的预置数为 Q Q3 3Q Q2 211 11,预置,预置数控制端数控制端 L LD D 接接 Q Q1 1。电路先异步清零,则起始。电路先异步清零,则起始状态为状态为00000000,列状态转移表如下所示。,列状态转移表如下所示。nnn由状态转移表知,模长由状态转移表知,模长M=8,且具备自启动性。,且具备自启动性。节目录节目录95CP个数个数Q3Q2Q1Q0LD000000100111201000301111410000510111611000711111800000题题 P6.17 状态转移表状态转移表节目录节目录96CP个数个数Q3Q2Q1Q0LD0000 101001 1 10001 011001 1 10010 101011 1 10011 011011 1 1CP个数个数Q3Q2Q1Q0LD0100 101101 1 10101 011101 1 10110 101111 1 10111 011111 1 1续表续表续表续表节目录节目录9798996.40 写出图写出图P6.40中中74161输出端的状态编码表及输出端的状态编码表及74151输出端产生的序列信号。输出端产生的序列信号。题题 P6.40节目录节目录100解:解:74161采用复采用复0法,实现模法,实现模M=10的计数器,的计数器,其状态转移表如下所示:其状态转移表如下所示:74151输出端产生的序列信号为:输出端产生的序列信号为:1111000110,节目录节目录101Q3 Q2 Q1 Q0状态转移路线状态转移路线F 0 0 0 01 0 0 0 11 0 0 1 01 0 0 1 11 0 1 0 00 0 1 0 10 0 1 1 00 0 1 1 11 1 0 0 01 1 0 0 10 1/0 0 1/0 0节目录节目录1026.41103104 8.1 有一个DAC电路,n=8,其分辨率是多少?解:分辨率=1/(2n-1)=1/(28-1)=0.392%8.3 若T型D/A转换器电路中,求对应输入011,101,110这3种情况下的输出电压解:当输入数字量为011时,输出电压uO为:当输入数字量为101时,输出电压uO为:当输入数字量为110时,输出电压uO为:1058.4 一个8位逐次逼近式ADC要求转换时间小于200ns,则时钟周期TCP应为多少?解:逐次逼近式ADC转换器完成一次转换所需要的节拍数为(n+1),其中n为二进制代码的个数,完成一次转换所需的时间为(n+1)TCP,其中TCP为时钟脉冲周期。因此:(n+1)TCP200nsTCP200/9=22.2ns,取TCP=20ns。1068.6 A/D转换通常要经过哪几个步骤来完成?解:A/D转换过程通常包括采样、保持、量化和编码四个步骤。采样就是周期性地抽取模拟信号的瞬间值;保持指在非采样点仍维持不变的模拟量输入;量化就是将连续的模拟量离散为量化电平;编码指为每个量化电平进行二进制“编号”。1078-6 有一个ADC电路,UREF=5V,n=4,试分别求出采用四舍五入量化和舍尾量化方式时的量化单位。如果uI=3.9V,则转换后的数字量分别为多少?若用自然二进制码表示转换后的数字量,则对应的代码分别是什么?解:1.采用四舍五入量化方式:量化单位1082.采用舍尾量化方式:量化单位1091109.3 试用ROM阵列图实现下列一组多输出逻辑函数F1(A,B,C)=AB+AB+BCF2(A,B,C)=m(3,4,5,7)F3(A,B,C)=ABC+ABC+ABC+ABC+ABC解:将F1,F2,F3都用最小项表达式表示:F1(A,B,C)=AB+AB+BC=m(2,3,4,5,7)F2(A,B,C)=m(3,4,5,7)F3(A,B,C)=ABC+ABC+ABC+ABC+ABC =m(0,1,3,6,7)111 ROM的阵列图如下图:111ABCF1F2F3m0 m7112 9.7 有容量为2564,64K1,1M8,128K16为的ROM,试分别回答:这些ROM有多少个基本存储单元?这些ROM每次访问几个基本存储单元?这些ROM个有多少个地址线?答:(1)分别有1024个,102464个,1M8,128K16个(2)分别为4个,1个,8个,16个(3)分别有8,16,20,17条地址线113图图 9.2.8 2114RAM的字位扩展的字位扩展9.10 用2114构成2K8的静态存储器,画出逻辑图CSR/WAA09LL2114(3)D0D3LLCSR/WAA09LL2114(1)D0D3LLCSR/WAA09LL2114(4)D0D3LLCSR/WAA09LL2114(2)D0D3LLA10R/WA9 A0D3 D0D7 D411410.1 PLD器件有哪几种分类方法?按不同的方法划分PLD器件分别有哪几种类型?解:PLD器件通常有两种分类方法:按集成度分类和按编程方法分类。按集成度分类,PLD器件可分为低密度可编程逻辑器件(LDPLD)和高密度可编程逻辑器件(HDPLD)两种。具体分类如下:按编程方法分类,PLD器件可分为一次性编程的可编程逻辑器件、紫外线可擦除的可编程逻辑器件、电可擦除的可编程逻辑器件和采用SRAM结构的可编程逻辑器件四种。115十二章复习十二章复习116本章重点、难点本章重点、难点由算法流程图由算法流程图ASM图图由由ASM图图控制器的状态转移图控制器的状态转移图由由ASM图图设计每态触发器设计每态触发器117第一节第一节 概述概述一、一、数字系统的基本模型数字系统的基本模型图图12.1.1 数字系统的一般模型数字系统的一般模型输出接口输出接口数据数据处理器处理器输入接口输入接口输入接口输入接口控制器控制器输出接口输出接口外部输入外部输入控制信号控制信号时钟时钟输入信号输入信号状态状态信号信号控制控制信号信号数字逻辑子系统数字逻辑子系统输出信号输出信号外部输出外部输出控制信号控制信号1181.数据处理器结构数据处理器结构A 组合逻辑网络、组合逻辑网络、B 寄存器组、寄存器组、C 控制网络控制网络 2.数据处理器的描述方法数据处理器的描述方法 明细表:它包括操作表、状态变量表明细表:它包括操作表、状态变量表 3.控制器的结构控制器的结构 它是时序电路,所以由组合电路和存储电路构成它是时序电路,所以由组合电路和存储电路构成4.控制器的描述方法控制器的描述方法:状态转移图或状态转移表:状态转移图或状态转移表119二、对数字系统的时序的约定二、对数字系统的时序的约定 1.同步数字系统同步数字系统(1)只有一个系统时钟;只有一个系统时钟;(2)输入信号都与系统时钟同步;输入信号都与系统时钟同步;(3)系统时钟同时到达所有存储元件的时钟脉冲系统时钟同时到达所有存储元件的时钟脉冲 输入端。输入端。CP 现态现态次态次态图图12.1.4 系统时钟脉冲波形系统时钟脉冲波形120三、数字系统的设计步骤三、数字系统的设计步骤 1.1.系统设计系统设计 明确设明确设计任务计任务确定初确定初始结构始结构算法流算法流程图程图ASM图图2 2、逻辑设计、逻辑设计数据处理器设计数据处理器设计控制器设计控制器设计 建立操作明细表建立操作明细表 建立状态转移表建立状态转移表3、电路设计、电路设计121第三节第三节 数字系统设计的描述工具数字系统设计的描述工具 一、一、方框图方框图 描述数字系统的总体结构。描述数字系统的总体结构。二、算法流程图二、算法流程图 1.作用:作用:描述算法。描述算法。注意:按照事件的先后次序排列的,与电路的注意:按照事件的先后次序排列的,与电路的时序无对应关系。时序无对应关系。2.基本符号基本符号 入口点;出口点;传输框;判断框入口点;出口点;传输框;判断框 122三、算法状态机图(三、算法状态机图(ASM图)图)1.作用作用按系统时序来描述系统的工作过程。按系统时序来描述系统的工作过程。2.ASM图符号图符号(1)状态框状态框(2)判断框判断框(3)条件框条件框 123ASM图的建立图的建立 从算法流程图从算法流程图 ASM图图 原则原则1:在算法的起始点安排一个状态;在算法的起始点安排一个状态;S01算法流程图算法流程图T0S01ASM图图 S01A0算法流程图算法流程图124原则原则2:必须用状态来分开不能同时实现的寄存:必须用状态来分开不能同时实现的寄存器传输操作;器传输操作;AA+1ASR(A)ASM图图 算法流程图算法流程图AA+1ASR(A)125原则原则3:如果判断框中的转移条件受前一个寄存:如果判断框中的转移条件受前一个寄存器操作的影响,应在它们之间安排一个器操作的影响,应在它们之间安排一个状态。状态。T0A=n01AA+1T0A=n01AA+1ASM图图 算法流程图算法流程图126ASM图推导控制器状态转移图图推导控制器状态转移图RESET1WAITRESET0ADATA,BUSY0ASR(A)BUSY1YA,BUSY0BEGINEND0110控制信号:控制信号:C1控制信号:控制信号:C2控制信号:控制信号:C3控制信号:控制信号:C5控制信号:控制信号:C4状态信号:状态信号:S1状态信号:状态信号:S2127T2C1C2C3C5S1S20110C4T0T1T3ASM图图128图图12.3.20 控制器的状态转移图控制器的状态转移图 T0T11/10000T2输入输入/输出:输出:S1S2/C1C2C3C4C50/10000/01000 0/00110T3 1/00100/00001129用每态一个触发器的方法(用用每态一个触发器的方法(用SSI)实现控制器实现控制器控制器有多少状态就有多少触发器,每一个状控制器有多少状态就有多少触发器,每一个状态对应一个触发器,某一触发器出态对应一个触发器,某一触发器出1表示进入该表示进入该状态,相当于状态,相当于3个状态分别编码为个状态分别编码为100,010,001。130图图 12.4.4 乘法器的乘法器的ASM图图S1S2SHIFT1000T0T1T211001100CLRADDS3D0=T0 S1+T2S3 D1=T0S1+T2 S3;D2=T1 S2+T1S2=T1131 12.4 数数字字系系统统的的ASM图图表表示示于于题题图图12.4。试试用用每每态态一一个个触触发发器器的的方方法法实现系统控制器。实现系统控制器。解:由四个D触发器实现控制器,设四个DFF的输入分别用D0、D1、D2、D3表示,输出分别用Q0、Q1、Q2、Q3表示。激励函数为:132 根据ASM图该控制器无输出函数。电路图略。13312.7 根据题图根据题图12.7所示所示ASM图,写出控制器状图,写出控制器状态转移图,画出控制器态转移图,画出控制器电路。电路。解:X1X2X3X4/Z1Z2Z3134T0T11/000T401/00000/000T2/100T3001/010101/0101/00000/000/010/100
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!