数字逻辑基础知识课件

上传人:txadgkn****dgknqu... 文档编号:240931854 上传时间:2024-05-18 格式:PPT 页数:88 大小:515.45KB
返回 下载 相关 举报
数字逻辑基础知识课件_第1页
第1页 / 共88页
数字逻辑基础知识课件_第2页
第2页 / 共88页
数字逻辑基础知识课件_第3页
第3页 / 共88页
点击查看更多>>
资源描述
数字数字数字数字逻辑逻辑逻辑逻辑1a数字逻辑1a一一 概述概述1数字系数字系统统2数字数字逻辑电逻辑电路的路的类类型和研究方法型和研究方法2a一概述1数字系统2数字逻辑电路的类型和模模拟拟信号:在信号:在时间时间上和上和数数值值上上连续连续的信号。的信号。数字信号:在数字信号:在时间时间上和上和数数值值上不上不连续连续的(即离的(即离散的)信号。散的)信号。uu模模拟拟信号波形信号波形数字信号波形数字信号波形tt对对模模拟拟信号信号进进行行传输传输、处处理的理的电电子子线线路称路称为为模模拟电拟电路。路。对对数字信号数字信号进进行行传输传输、处处理的理的电电子子线线路称路称为为数字数字电电路。路。1.数字系数字系统统3a模拟信号:在时间上和数值上连续的信号。数字信号:在时间上和数典型的模拟信号为正弦信号,任一模拟信号可看分解成不同频率正弦信号的迭加。ti4a典型的模拟信号为正弦信号,任一模拟信号可看分解成不同频率正弦计计算机算机被控被控对对象象一次一次仪仪表表执执行机构行机构D/AD/A转换转换A/DA/D转换转换数字信号数字信号数字信号数字信号模模拟拟信号信号模模拟拟信号信号被被测测参数参数控制信号控制信号某控制系某控制系统统的框的框图图 5a计算机被控对象一次仪表执行机构D/A转换A/D转换数字信号数数字数字逻辑电逻辑电路的特点路的特点(1 1)工作信号是二)工作信号是二进进制的数字信号,在制的数字信号,在时间时间上和数上和数值值上上是离散的(不是离散的(不连续连续),反映在),反映在电电路上就是低路上就是低电电平和高平和高电电平两种状平两种状态态(即(即0 0和和1 1两个两个逻辑值逻辑值)。)。(2 2)在数字)在数字电电路中,研究的主要路中,研究的主要问题问题是是电电路的路的逻辑逻辑功能,功能,即即输输入信号的状入信号的状态态和和输输出信号的状出信号的状态态之之间间的关系。的关系。(3 3)电电路路结结构构简单简单、功耗低、便于集成和系列化生、功耗低、便于集成和系列化生产产。(4 4)对组对组成数字成数字电电路的元器件的精度要求不高,只要在路的元器件的精度要求不高,只要在工作工作时时能能够够可靠地区分可靠地区分0 0和和1 1两种状两种状态态即可即可6a数字逻辑电路的特点(1)工作信号是二进制的数字信号,在时间上可靠性强、抗干挠能力强、电路结构简单、功耗低、便于集成和系列化生产。数字数字逻辑电逻辑电路的特点路的特点:标标称称值值0.3V0.3V允允许许低于低于0.8V0.8V标标称称值值3.6V3.6V允允许许高于高于2.4V2.4V7a可靠性强、抗干挠能力强、电路结构简单、功耗低、便于集成和系列 数字数字逻辑电逻辑电路的路的类类型和研究方法型和研究方法1 1、数字、数字电电路的分路的分类类(1 1)按集成度分)按集成度分类类:数字:数字电电路可分路可分为为小小规规模(模(SSISSI,每片数,每片数十器件)、中十器件)、中规规模(模(MSIMSI,每片数百器件)、大,每片数百器件)、大规规模(模(LSILSI,每片数千器件)和超大每片数千器件)和超大规规模(模(VLSIVLSI,每片器件数目大于,每片器件数目大于1 1万)万)数字集成数字集成电电路。集成路。集成电电路从路从应应用的角度又可分用的角度又可分为为通用型和通用型和专专用型两大用型两大类类型。型。(2 2)按所用器件制作工)按所用器件制作工艺艺的不同:数字的不同:数字电电路可分路可分为为双极型双极型(TTLTTL型)和型)和单单极型(极型(MOSMOS型)两型)两类类。(3 3)按照)按照电电路的路的结结构和工作原理的不同:数字构和工作原理的不同:数字电电路可分路可分为组为组合合逻辑电逻辑电路和路和时时序序逻辑电逻辑电路两路两类类。组组合合逻辑电逻辑电路没有路没有记忆记忆功功能,其能,其输输出信号只与当出信号只与当时时的的输输入信号有关,而与入信号有关,而与电电路以前的路以前的状状态态无关。无关。时时序序逻辑电逻辑电路具有路具有记忆记忆功能,其功能,其输输出信号不出信号不仅仅和和当当时时的的输输入信号有关,而且与入信号有关,而且与电电路以前的状路以前的状态态有关。有关。8a数字逻辑电路的类型和研究方法1、数字电路的分类(1)按集成典型的数字系统数字计算机适配器适配器控控制制器器运运算算器器存存储储器器输输入入设备设备输输出出设备设备CPUCPU系系统总线统总线9a典型的数字系统数字计算机适配器控运存输入输出CPU系统总2 2、数字、数字逻辑电逻辑电路的研究方法路的研究方法1 1:对对一个一个现现成的数字成的数字逻辑电逻辑电路研究它的工作性能路研究它的工作性能 和和逻辑逻辑功能功能分析,分析,2 2:根据提出的:根据提出的逻辑逻辑功能,在功能,在给给定条件下构造出定条件下构造出实实 现预现预定功能的定功能的逻辑电逻辑电路路设计设计10a2、数字逻辑电路的研究方法1:对一个现成的数字逻辑电路研究它第一章第一章数制与数制与码码制制1.1进进位位计计数制数制1.2数制数制转换转换1.机器机器码码1.数的定点和浮点表示数的定点和浮点表示1.数数码码和字符的代和字符的代码码表示表示11a第一章数制与码制1.1进位计数制1.2数(1 1)进进位制:表示数位制:表示数时时,仅仅用一位数用一位数码码往往不往往不够够用,必用,必须须用用进进位位计计数的方法数的方法组组成多位数成多位数码码。多位数。多位数码码每一位的每一位的构成以及从低位到高位的构成以及从低位到高位的进进位位规则规则称称为进为进位位计计数制,数制,简简称称进进位制。位制。1.1 1.1 进进位位计计数制数制(2 2)基)基 数:数:进进位制的基数,就是在位制的基数,就是在该进该进位制中可能位制中可能用到的数用到的数码码个数。个数。(3 3)位位 权权(位的(位的权权数):在某一数):在某一进进位制的数中,每位制的数中,每一位的大小都一位的大小都对应对应着着该该位上的数位上的数码码乘上一个固定的数,乘上一个固定的数,这这个固定的数就是个固定的数就是这这一位的一位的权权数。数。权权数是一个数是一个幂幂。两个基本因素两个基本因素12a(1)进位制:表示数时,仅用一位数码往往不够用,必须用进位计一一、十、十进进制制 基数基数为为10,逢十逢十进进一一,基本数基本数码码 0、1、2、3、4、5、6、7、8、9;相相邻邻高位是低位高位是低位权权的十倍。的十倍。位置位置记记数法数法:按按权权展开式展开式:(S)10=an-110n-1+an-210n-2+.+a1101+a0100+a-110-1+a-210-2+.+a-m10-m=例:(例:(.)101+100+10-1+10-2+10-(S)10=(an-1an-2.a1a0a-1a-2.a-m)10(或)(或)又如:又如:(209.04)102102010191000101410213a一、十进制(S)10=(an-1an-2.a1a0二、二、二二进进制制基数基数为为2,2,逢二逢二进进一一,基本数基本数码码0 0、1;1;相相邻邻高位是低位高位是低位权权的的二倍。二倍。位置位置记记数法数法:(S):(S)2 2=(a=(an-1n-1a an-2n-2.a.a1 1a a0 0a a-1-1a a-2-2.a.a-m-m)2 2 按按权权展开式展开式:(S):(S)2 2=a=an-1n-122n-1n-1+a+an-2n-222n-2n-2+.+a+.+a1 1221 1+a+a0 0220 0 +a+a-1-122-1-1+a+a-2-222-2-2+.+a+.+a-m-m22-m-m=例:()例:()22+22+22+22+22+22+22+22+22-+22-+22-14a二、二进制14a(101.01)(101.01)2 2 12 122 2 02021 112120 002021 11 21 22 2(5.25)(5.25)1010加法加法规则规则:0+0=00+0=0,0+1=10+1=1,1+0=11+0=1,1+1=101+1=10乘法乘法规则规则:00=000=0,01=0 01=0,10=010=0,11=111=1运算运算规则规则:各数位的各数位的权权是的是的幂幂二二进进制数只有制数只有0 0和和1 1两个数两个数码码,它的每一位都可以用,它的每一位都可以用电电子元件来子元件来实现实现,且运算,且运算规则简单规则简单,相,相应应的运算的运算电电路也容易路也容易实现实现。15a(101.01)212202112002 1 1 0 0 1+1 0 1 1 1 1 1 0 1 1 0 0 1 1 0 1 1 0 1 0 0 1 1 0 0 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 1 0 0 1 =1 1 1 1 1 0 1 1 0 1 1 0 1 )1 1 0 0 1 1 0 1 0 1 0 0 0 0 1 0 1 1 0 1 0 0 0移位相加移位相加移位相减移位相减16a11001110011001*10111001*10111 0 0 11 0 0 11 0 0 11 0 0 11 0 0 11 0 0 11 0 0 11 0 0 11 0 1 11 0 1 1 0 0 0 00 0 0 0 1 1 0 0 0 1 1 1 1 0 0 0 1 1二二进进制乘法运算可制乘法运算可转换转换成成移位加法运算移位加法运算实现实现同理二同理二进进制除法运算可制除法运算可转转换换成移位减法运算成移位减法运算实现实现17a1001*1011100110011001三三.十六十六进进制制基数基数为为16,16,逢十六逢十六进进一一,基本数基本数码码 0 0、1 1、2 2、3 3、4 4、5 5、6 6、7 7、8 8、9 9、A A、B B、C C、D D、E E、F;F;相相邻邻高位是低位高位是低位权权的十六倍。的十六倍。位置位置记记数法数法:(S):(S)1616=(a=(an-1n-1a an-2n-2.a.a1 1a a0 0a a-1-1a a-2-2.a.a-m-m)16(16(或)或)按按权权展开式展开式:(S):(S)1616=a=an-1n-11616n-1n-1+a+an-2n-21616n-2n-2 +a+a1 116161 1+a+a0 016160 0 +a +a-1-11616-1-1+a+a-2-21616-2-2+.+a+.+a-m-m1616-m-m =例:例:()=1616+16161 1+16160 0+1616-1-1+1616-2-2+1616-18a三.十六进制18a四四.八八进进制制基数基数为为8,8,逢十逢十进进一一,基本数基本数码码 0 0、1 1、2 2、3 3、4 4、5 5、6 6、7;7;相相邻邻高位是低位高位是低位权权的八倍。的八倍。位置位置记记数法数法:(S):(S)8 8=(a=(an-1n-1a an-2n-2.a.a1 1a a0 0a a-1-1a a-2-2.a.a-m-m)8(8(或)或)按按权权展开式展开式:(S)(S)8 8=a=an-1n-188n-1n-1+a+an-2n-288n-2n-2+.+a+.+a1 1881 1+a+a0 0880 0+a+a-1-188-1-1 +a+a-2-288-2-2+.+a+.+a-m-m88-m-m =19a四.八进制19a例:例:()8 8=88 +88+.+.+88+88-1-1 +88-2-2+88 20a例:()820a五五.任意任意(r)(r)进进制制基数基数为为r,r,逢逢r r进进一一,基本数基本数码码 r r个个;相相邻邻高位是低位高位是低位权权的的r r倍。倍。位置位置记记数法数法:(S)(S)r r=(a=(an-1n-1a an-2n-2.a.a1 1a a0 0a a-1-1a a-2-2.a.a-m-m)r r按按权权展开式展开式:(S):(S)r r=a=an-1n-1rrn-1n-1+a+an-2n-2rrn-2n-2+.+.+a +a1 1rr1 1+a+a0 0rr0 0+a+a-1-1rr-1-1 +a +a-2-2rr-2-2+.+a+.+a-m-mrr-m-m =21a五.任意(r)进制21a22a22a1.2 数制数制转换转换例:()例:()1+1+11+1+1()()一、十一、十进进制与二制与二进进制制间间的相互的相互转换转换二二进进制数制数转换转换成十成十进进制数制数(按按权权展开,相加得到)展开,相加得到)如:如:()()1 16 61 15 51 13 31 10 01 1-1-1+1+1-2-2 ()()23a1.2数制转换例:()一、十进制十十进进制数制数转换转换成二成二进进制数制数)整数部分:除)整数部分:除2取余取余例如,要将十例如,要将十进进制整数制整数143转换为转换为二二进进制整数,就要把它制整数,就要把它写成如下形式:写成如下形式:24a十进制数转换成二进制数例如,要将十进制整数143转换为二012481735711432222222210001111(143)D=(10001111)B余数余数25a012481735711432222222210001111依据依据:两数相等两数相等,其整数部分和小数部分其整数部分和小数部分应应分分别别相等相等则除后他除后他们们也也应应相等,且它相等,且它们们的小数部的小数部分和整数部分分和整数部分应应分分别别相等。相等。26a依据:两数相等,其整数部分和小数部分应分别相等则除后他们也)小数部分:)小数部分:乘取整直到小数部分乘取整直到小数部分为为0 0或达到或达到 所要求的精度。所要求的精度。例例:将将(0.8125)10(0.8125)10化化为为二二进进制小数制小数所以所以(0.8125)(0.8125)10 10=(0.1101)=(0.1101)2 227a)小数部分:乘取整直到小数部分为0或达到例:将(0.1 1 1 1 1 1 1 1 1 1 1.1 1 1 1 84211632641282565121024.5.25.125.062512481632641285121024204840963288D=2048+1024+128+64+16+8 =11011011000B28a111111111二二二二进进制数与十六制数与十六进进制数之制数之间间的相互的相互转换转换二二进进制数制数转换转换成十六成十六进进制数制数以小数点以小数点为为中心,分中心,分别别向左或向右每四位二向左或向右每四位二进进制数制数对应对应一一位十六位十六进进制数,不足部分制数,不足部分补补。例:例:十六十六进进制数制数转换转换成二成二进进制数制数以小数点以小数点为为中心,分中心,分别别向左或向右每一位十六向左或向右每一位十六进进制数制数对应对应四位二四位二进进制数。制数。例:例:29a二二进制数与十六进制数之间的相互转换29a三二三二进进制数与八制数与八进进制数之制数之间间的相互的相互转换转换二二进进制数制数转换转换成八成八进进制数制数以小数点以小数点为为中心,分中心,分别别向左或向右每三位二向左或向右每三位二进进制数制数对应对应一一位八位八进进制数,不足部分制数,不足部分补补。例:例:()()O O八制数八制数转换转换成二成二进进制数制数以小数点以小数点为为中心,分中心,分别别向左或向右每一位八向左或向右每一位八进进制数制数对应对应三位三位二二进进制数。制数。例:()例:()()()30a三二进制数与八进制数之间的相互转换30a.带符号二进制数的代码表示1.3.1原原码码1.3.2反反码码1.3.3补码补码-3 6.5=-0 1 0 1 0 0.1-3 6.5=-0 1 0 1 0 0.131a.带符号二进制数的代码表示1.3.1原码1.3.真真值值与机器与机器码码:符号位符号位 数数值值位位1 10 01 11 11 10 01 11 1N1=+1011N1=+1011N2=N2=10111011+1 10 032a真值与机器码:符号位数值位10111011N1=1.1.原原码码表示法(符号表示法(符号数数值值表示法)表示法)原原码码表示法用表示法用“0”“0”表示正号,用表示正号,用“1”“1”表示表示负负号,号,有效有效值值部分用二部分用二进进制的制的绝对值绝对值表示。以下表示。以下n n均表示均表示字字长长的有效位。的有效位。X X1 1 =+1001 =+1001XX1 1 原原=0 010011001X X2 2 =1001 1001XX2 2 原原=1 110011001X X3 3 =0.1001 =0.1001XX3 3 原原=0.0.10011001X X4 4 =0.10010.1001XX4 4 原原=1.1.10011001X X5 5=0.0000=0.0000XX5 5 原原=0.0.00000000X X6 6 =0.00000.0000XX6 6 原原=1.1.0000000033a1.原码表示法(符号数值表示法)X1=+1001小数:小数:X 1-2X 1-2-(n-1)-(n-1)X0X0XX原原 =1-X=1+|X|0X-(1-2 1-X=1+|X|0X-(1-2-(n-1)-(n-1)完成下列数的真完成下列数的真值值到原到原码码的的转换转换X1 =+0.1011011 X2 =-0.1011011 XX1 1 1 1 原原原原=0 0.1011011.1011011XX2 2 2 2 原原原原=1 1.1011011.101101134a小数:完成下列数的真值到原码的转换X1原=0.10110整数:整数:X 2X 2n-1n-1-1X0-1X0XX原原 =2 2n-1n-1-X=2-X=2n-1n-1+|X|0X-(2+|X|0X-(2n-1n-1-1)-1)完成下列数的真完成下列数的真值值到原到原码码的的转换转换X1 =+0 1011011 X2 =-0 1011011 XX1 1 1 1 原原原原=0 010110111011011XX2 2 2 2 原原原原=1 11011011101101135a整数:完成下列数的真值到原码的转换X1原=010112.2.反反码码表示法表示法位二位二进进制数的反制数的反码码有位,其中有位,其中:最高一位最高一位为为符号位,正数的符号位用表示,符号位,正数的符号位用表示,负负数的符号位用表示,数的符号位用表示,数数值值位:正数的数位:正数的数值值位与真位与真值值相同、相同、负负数的数的数数值值位由真位由真值值按位求反得到。按位求反得到。36a2.反码表示法位二进制数的反码有位,其中:36aX1=+1001X1反反=01001X2=1001X2反反=10110X3=0.1001X3反反=0.1001X4=0.1001X4反反=1.0110X5=0.0000X5反反=0.0000X6=0.0000X6反反=1.111137aX1=+1001X1反=010小数反小数反码码的定的定义义:X 1 X 0X反反=(2-2-(n-1)+X 0 X -(1-2-2-(n-1)-(n-1)X1=+0.1011011,X1 反反=0.1011011X2=-0.1011011,X2 反反=1.0100100 1.1 1 1 1 1 1 1 -0.1 0 1 1 0 1 1 1.0 1 0 0 1 0 0 38a小数反码的定义:38a整数反码的定义:X 2n-1 X 0 X反反=(2n-1)+X 0 X -2 2n-1n-1 X3=+1011011,X3 反反=01011011 X4=-1011011,X4 反反=10100100 +0反反=00000000;-0反反=1111111139a整数反码的定义:X3 补码表示法模:模:计计量器具的容量,或称量器具的容量,或称为为模数。模数。4 4位字位字长长的机器表的机器表示的二示的二进进制整数制整数为为:0000-1111 0000-1111 共共1616种状种状态态,模,模为为16=216=24 4 。整数整数N N位字位字长长的模的模值为值为 2 2n n,一位符号位的,一位符号位的纯纯小数的模小数的模值为值为2 2。模模模模数数也可看成可也可看成可丢丢掉的数掉的数,例在例在1212进进制中制中1313点也点也记为记为1 1点,即点,即:1 =13 (mod 12):1 =13 (mod 12)40a3补码表示法模:计量器具的容量,或称为模数。4位字长的机X1 =+1001X1 补=01001X2 =1001X2 补=10111X3 =0.1001X3 补=0.1001X4 =0.1001X4 补=1.0111X5=0.0000X5 补=0.0000X6 =0.0000X6 补=0.0000X7 =1.0000X7 补=1.0000补码补码补码补码的定的定的定的定义义义义:正数的:正数的:正数的:正数的补码补码补码补码就是正数的本身,就是正数的本身,就是正数的本身,就是正数的本身,负负负负数的数的数的数的补码补码补码补码是原是原是原是原负负负负数加上模。数加上模。数加上模。数加上模。41aX1=+1001X1补=010小数小数补码补码的定的定义义:X 1X0 x补补=2+X=2-|X|0X-1 完成下列数的真完成下列数的真值值到到补码补码的的转换转换X1 =+0.1011011 X2 =-0.1011011 XX1 1 1 1 补补补补=0 010110111011011XX2 2 2 2 补补补补=1 10100101010010142a小数补码的定义:完成下列数的真值到补码的转换X1补=01整数整数补码补码的定的定义义:X 2(n-1)-1 X0 x补补=2n+X=2n-|X|0X-2(n-1)完成下列数的真完成下列数的真值值到到补码补码的的转换转换X1 =+0 1011011 X2 =-0 1011011 XX1 1 1 1 补补补补=0 010110111011011X2X2补补=1 10100101010010143a整数补码的定义:完成下列数的真值到补码的转换X1补=01二机器数的运算二机器数的运算原原码码的运算:的运算:同符号数相加同符号数相加时时,先得符号位,数,先得符号位,数值值位再位再相加;相减相加;相减时时,先比,先比较较两数大小得符号两数大小得符号位,数位,数值值位用位用绝对值绝对值大的数减小的数。大的数减小的数。例:已知例:已知求:;求:;解:解:原原原原原原;原原;原原;44a二机器数的运算反反码码的运算:的运算:符号位和数符号位和数值值位一起参加运算,符号位的位一起参加运算,符号位的进进位与最低位与最低数数值值位再相加。位再相加。反反反反反反反反反反反反45a反码的运算:45a-1001110-0011001=-1100111-1001110反=10110001-0011001反=1110011010110001+11100110=10010111+11001100010011100011001=-110011146a-1001110-0011001=-1100111补码补码的运算:符号位和数的运算:符号位和数值值位一起参加运算,符位一起参加运算,符号位的号位的进进位舍去位舍去。补补 补补补补补补 补补补补-1001110-0011001=-1100111 1 0 1 1 0 0 1 0+1 1 1 0 0 1 1 1=1 0 0 1 1 0 0 1符号位符号位进进位舍弃位舍弃五位机器五位机器计计算算9-59+8已知已知 X=0 110101;Y=0011010求求 X+Y;XY已知已知 X=1000100;Y=0100111求求 X+Y;XY47a补码的运算:符号位和数值位一起参加运算,符号位的进位舍去例例 已知已知X1=0.1001,X2=-0.0101,求求 X2+X1补补和和X2-X1补补。解:解:X2+X1补补=X2补补+X1补补=1.1011+0.1001由由于于符符号号位位产产生生了了进进位位,因因此此,要要将将此此进进位位舍舍去去,即即X2+X1补补=0.0100运运算算结结果果的的符符号号位位为为0,说说明明是是正正数数的的补补码码,补补码码与原与原码码相同。相同。由于其符号位由于其符号位为为0,则则其真其真值为值为X2+X1=0.0100 1.1011 1.1011+)0.1001+)0.1001 1 10.01000.0100舍去舍去48a例已知X1=0.1001,X2=-0.0101,X2-X1补补=X2补补+-X1补补=1.1011+1.0111由由于于符符号号位位产产生生了了进进位位,因因此此,要要将将此此进进位位略略去去,即即X2-X1补补=1.0010运运算算结结果果的的符符号号位位为为1,说说明明是是负负数数的的补补码码,应应对对补码补码求求补补后才能得到原后才能得到原码码,即,即X2-X1原原=1.1110由于其符号位由于其符号位为为1,则则其真其真值为值为X2-X1=-0.1110 1.1011 1.1011+)1.0111+)1.0111 1 11.00101.0010舍去舍去49aX2-X1补=X2补+-X1补=1.十进制的补数 3 36 6 .5 5 .50a.十进制的补数36.5.50a1.1.对对1010的的补补数数十十进进制制“对对1010的的补补数数”与二与二进进制的制的补码类补码类似。似。符号位:正数用表示,符号位:正数用表示,负负数用表示数用表示。数数值值位:正数与真位:正数与真值值相同;相同;负负数按位数按位对对求求补补,最低位加。,最低位加。例:例:N1365N2=-365则则:N110补补补补0365N210补补补补9635运算运算规则规则也与二也与二进进制的制的补码类补码类似。似。51a1.对10的补数例:N1365则:N110补0365例1:用对10的补求123+456解:123+45610补 =+123 10补+456 10补 =0123+0456 =0579123+456=579例2:用对10的补求123-456解:123-45610补 =+123 10补+-456 10补 =0123+9544 =9667123-456=-33352a例1:用对10的补求123+456解:123+45610例4:用对10的补求5678-123解:5678-12310补=5678-012310补 =+5678 10补+-0123 10补 =05678+99877 =055555678-123=5555例3:用对10的补求456-123解:456-12310补 =+45610补+-12310补 =0456+9877 =0333456-123=33304560456+9877+9877=0333=0333舍去舍去53a例4:用对10的补求5678-123解:5678-1232.2.对对的的补补数数十十进进制制“对对的的补补数数”与二与二进进制的反制的反码类码类似。似。符号位:正数用表示,符号位:正数用表示,负负数用表示数用表示。数数值值位:正数与真位:正数与真值值相同;相同;负负数按位数按位对对求求补补。例:例:N1365N2=-365则则:N1补补补补0365N2补补补补9634运算运算规则规则也与二也与二进进制的反制的反码类码类似。似。54a2.对的补数例:N1365则:N1补0365运算例1:用对9的补求123+456解:123+4569补 =+123 9补+456 9补 =0123+0456 =0579123+456=579例2:用对9的补求123-456解:123-4569补 =+123 9补+-456 9补 =0123+9543 =9666123-456=-33355a例1:用对9的补求123+456解:123+4569补例3:用对9的补求456-123解:456-1239补 =+4569补+-1239补 =0456+9876 =0333456-123=33304560456+9876+9876=0332=0332+1+1=0333=033356a例3:用对9的补求456-123解:456-1239补数的定点和浮点表示.57a数的定点和浮点表示.数的定点表示计计计计算机中的小数点并不是用某个数字来表示,而是用算机中的小数点并不是用某个数字来表示,而是用算机中的小数点并不是用某个数字来表示,而是用算机中的小数点并不是用某个数字来表示,而是用隐隐隐隐含的小数点的位置表示的。根据小数点的位置是否含的小数点的位置表示的。根据小数点的位置是否含的小数点的位置表示的。根据小数点的位置是否含的小数点的位置表示的。根据小数点的位置是否固定,可分固定,可分固定,可分固定,可分为为为为定点表示和浮点表示。其中,定点表示定点表示和浮点表示。其中,定点表示定点表示和浮点表示。其中,定点表示定点表示和浮点表示。其中,定点表示形式又分形式又分形式又分形式又分为为为为定点小数表示和定点整数表示。定点小数表示和定点整数表示。定点小数表示和定点整数表示。定点小数表示和定点整数表示。小数点的位置是固定的,默小数点的位置是固定的,默小数点的位置是固定的,默小数点的位置是固定的,默认认认认的称的称的称的称为为为为数的定点表示数的定点表示数的定点表示数的定点表示。58a.数的定点表示计算机中的小数点并不是用某个数字来表示,而是(1 1)定点小数定点小数将小数点固定在符号位将小数点固定在符号位d d0 0之后,数之后,数值值最高位最高位d d-1-1之前。之前。格式如下:格式如下:d d0 0d d-1-1d d-2-2d d-(n-1)-(n-1)其数据的表示范其数据的表示范围围随机器随机器码码表示方法的不同而不一表示方法的不同而不一样样。.59a(1)定点小数d0d-1d-2d-(n-1)其数据的(2)定点整数定点整数将小数点固定在数的最低位之后,格式如下:将小数点固定在数的最低位之后,格式如下:d0d1d2d(n-1)其数据的表示范其数据的表示范围围随机器随机器码码表示方法的不同而不一表示方法的不同而不一样样。.60a(2)定点整数d0d1d2d(n-1)其数据的表.数的浮点表示数的浮点表示小数点的位置不固定或小数点的位置不固定或说说是浮是浮动动的称的称为为浮点表示。浮点表示。机器机器码码中部分字段表示中部分字段表示阶码阶码,部分字段表示尾数。,部分字段表示尾数。阶码阶码尾数尾数61a.数的浮点表示小数点的位置不固定或说是浮动的称为浮点表示 阶码阶码尾数尾数尾符尾符阶阶符符62a阶码尾数尾符阶符62a浮点表示速度快、数域广、精度高。浮点表示速度快、数域广、精度高。例例:16:16位浮点机器,位浮点机器,5 5位位阶码补码阶码补码表示表示(含含1 1位位阶阶符符),1111位尾数位尾数补码补码表示表示(含含1 1位尾位尾)符,符,则则其数域其数域为为:121215 15 2 2-16-1622-16-162 2-10-1022-16-16=2=2-26 -26 (1-2(1-2-15-15)2)21515221515例例1616位定点小数机器其数域位定点小数机器其数域为为:2 2-15-15=1-2=1-2-15-15 63a浮点表示速度快、数域广、精度高。例:16位浮点机器,5位阶码1.4 1.4 几种常用的几种常用的编码编码1.4.1十十进进制数的二制数的二进进制制编码编码1.4.2可靠性可靠性编码编码1.4.3字符字符编码编码64a1.4几种常用的编码1.4.1十进制数的二进制编码11.4.1 1.4.1 十十进进制数的二制数的二进进制制编码编码 十十进进制数的二制数的二进进制制编码简编码简称称为为二二-十十进进制制码码或或BCDBCD码码,所所谓谓BCDBCD码码是指用若干位二是指用若干位二进进制数来表示一位十制数来表示一位十进进制制数。数。十十进进制制数数有有0 09 9共共1010个个数数码码,所所以以表表示示1 1位位十十进进制制数数,至至少少需需要要4 4位位二二进进制制数数。但但4 4位位二二进进制制数数可可以以产产生生2 24 4=1616种种组组合合,用用4 4位位二二进进制制数数表表示示1 1位位十十进进制制数数,有有六六种种组组合合是是多多余余的的。十十进进制制数数的的二二进进制制编编码码可可以以有有许许多多种种方方法法,即即有有许许多多种种不不同同的的编编码码方方案案。下下表表列列举举了目前常用的几种了目前常用的几种编码编码方案。方案。65a1.4.1十进制数的二进制编码十进制数的二进制编二二进进制制十十进进制数制数码码000000000001000100100010001100110100010001010101011001100111011110001000100110011010101010111011110011001101110111101110111111110 02 21 19 94 47 75 53 38 87 76 666a二进制十进制数码0000000100100011010001二二进进制数制数余余码码码码码码67a二进制数余码码码一、一、8421BCD8421BCD码码 用四位自然二用四位自然二进进制制码码中的前十个中的前十个码码字来表示十字来表示十进进制制数数码码,因各位的,因各位的权值权值依次依次为为8 8、4 4、2 2、1 1,故称,故称8421 BCD8421 BCD码码。由于由于84218421码码中的每一位的中的每一位的权权是固定不是固定不变变的,它属于恒的,它属于恒权权代代码码。恒恒权码权码的按的按权权展开式如下:展开式如下:S=aS=a3 3W W3 3+a+a2 2W W2 2+a+a1 1W W1 1+a+a0 0W W0 068a一、8421BCD码用四位自然二进制码中的前8421BCD8421BCD码码的的权为权为W W3 3=2=23 3=8 W=8 W2 2=2=22 2=4=4 W W1 1=2=21 1=2 W=2 W0 0=2=20 0=1=1例例 如如,8421BCD8421BCD码码 10011001的的 按按 权权 展展 开开 式式 为为18+04+02+11=918+04+02+11=9因而,代因而,代码码10011001表示十表示十进进制数制数9 9。注意:在注意:在8421BCD8421BCD码码中,不允中,不允许许出出现现1010101011111111这这几个代几个代码码,因,因为为在十在十进进制中,没有数制中,没有数码码同它同它们对应们对应 69a8421BCD码的权为例如,8421BCD码1001的按权展二、余二、余3 3码码 余余3 3码码是是一一种种特特殊殊的的84218421码码,它它是是由由8421BCD8421BCD码码加加3 3后后形形成成的的,所所以以叫叫做做余余3 3码码。例例如如,十十进进制制数数7 7在在8421BCD8421BCD码码中中是是01110111,在在余余3 3码码中中就就成成为为10101010。余。余3 3码码的各位无固定的的各位无固定的权权。余余3 3码码是一种是一种对对的自的自补码补码70a二、余3码余3码是一种特殊的8421码,它是由8421 三、三、24212421码码 24212421码码也也是是一一种种恒恒权权码码,它它的的0 0和和9 9、1 1和和8 8、2 2和和7 7、3 3和和6 6、4 4和和5 5互互为为反反码码,这这一一点点和和余余3 3码码相相似似。只只要要将将24212421码码自自身身按按位位求求反反,就就能能方方便便地地得得到到其其“对对9 9的的补补数数”的的24212421码码。24212421码码用用4 4位位二二进进制制数表示数表示1 1位十位十进进制数,其制数,其权为权为 W W3 3=2 W=2 W2 2=4 =4 W W1 1=2 W=2 W0 0=1=171a三、2421码2421码也是一种恒权码,它的0和9(34.56)D=(00110100.01010110)=(00110100.01010110)84218421=(01100111.10001001)=(01100111.10001001)余余3 3=(00110100.10111100)=(00110100.10111100)24212421=(00110100.10001001)=(00110100.10001001)5421542172a(34.56)D=(00110100.01010110)841.4.2 1.4.2 可靠性可靠性编码编码 一、格雷一、格雷码码(Gray)(Gray)格格雷雷码码又又叫叫循循环环码码,它它有有多多种种编编码码形形式式,但但它它们们有有一一个个共共同同的的特特点点,就就是是任任意意两两个个相相邻邻的的代代码码之之间间,它它们们的的格格雷雷码码仅仅有有一一位位不不同同,其余各位均相同。下表列出了一种格雷其余各位均相同。下表列出了一种格雷码码。信息在生成信息在生成过过程中引入的一种可靠性程中引入的一种可靠性编码编码。0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 173a1.4.2可靠性编码一、格雷码(Gray)格雷码十十进进制数制数码码的格雷的格雷码码十十进进制制数数码码0 1 2 3 4 5 6 7 8 90 1 2 3 4 5 6 7 8 9格雷格雷码码0000 0001 0011 0010 0110 0111 0101 0100 1100 11010000 0001 0011 0010 0110 0111 0101 0100 1100 110174a十进制数码的格雷码十进制数码0123格雷格雷码码是一种无是一种无权码权码,它与二,它与二进进制数之制数之间间的的转换转换关系如下:关系如下:设设二二进进制数制数为为B=BB=Bn nB Bn-1n-1BB1 1B B0 0,其其对应对应的格雷的格雷码为码为G=GG=Gn nG Gn-1n-1GG1 1G G0 0,则则:G Gn n=B=Bn nG Gi i=B=Bi+1i+1BBi i i=0,1,2,n-1 i=0,1,2,n-1 G G4 4=B=B4 4G G3 3=B=B4 4BB3 3G G2 2=B=B3 3BB2 2G G1 1=B=B2 2BB1 1以四位以四位为为例例:75a格雷码是一种无权码,它与二进制数之间的转换关系如下:例:把二例:把二进进制数制数01010101和和10011001转换转换成格雷成格雷码码。76a例:把二进制数0101和1001转换成格雷码。76a可推广到n位:118318477a可推广到n位:1如果已知格雷如果已知格雷码码,也可将其,也可将其转换转换成成对应对应的二的二进进制数,其制数,其转换转换关系如下:关系如下:以四位以四位为为例例:78a如果已知格雷码,也可将其转换成对应的二进制数,其转换关系如下例:把格雷例:把格雷码码11001100和和01110111转换转换成二成二进进制数。制数。79a例:把格雷码1100和0111转换成二进制数。79a例:把格雷例:把格雷码码110011010110011010转换转换成二成二进进制数。制数。1 1 0 0 1 1 0 1 01 1 0 0 1 1 0 1 01 0 0 0 1 0 0 1 11 0 0 0 1 0 0 1 180a例:把格雷码110011010转换成二进制数。110二、奇偶校二、奇偶校验码验码奇奇偶偶校校验验码码是是一一种种能能检检验验出出二二进进制制信信息息在在传传送送过过程程中中出出现现错错误误的的代代码码。这这种种代代码码由由两两部部分分组组成成:一一一一部部部部分分分分是是是是奇奇奇奇偶偶偶偶校校校校验验验验位位位位,它它它它使使使使整整整整个个个个代代代代码码码码中中中中1 1 1 1的的的的个个个个数数数数按按按按预预预预先先先先的的的的规规规规定定定定成成成成为为为为奇奇奇奇数数数数或或或或偶偶偶偶数数数数,另另另另一一一一部部部部分分分分是是是是信信信信息息息息位位位位,它它它它需需需需要要要要传传传传送送送送的的的的信信信信息息息息本本本本身身身身。当当当当信信信信息息息息位位位位和和和和校校校校验验验验位位位位中中中中1 1 1 1的的的的总总总总个个个个数数数数为为为为奇奇奇奇数数数数时时时时,称称称称为为为为奇奇奇奇校校校校验验验验,而而而而1 1 1 1的的的的总总总总个个个个数数数数为为为为偶偶偶偶数数数数时时时时,称称称称为为为为偶偶偶偶校校校校验验验验。表表1.41.4表表示示由由1 1位位奇奇偶偶校校验验位位(首首位位)及及4 4位位信信息息位位构构成成的的5 5位奇偶校位奇偶校验码验码。信息的信息的传传送送过过程引入的一种可靠性程引入的一种可靠性编码编码在原有信息位的基在原有信息位的基础础上加上一位校上加上一位校验验位位,使使总总的的二二进进制制码码中中1 1的个数的个数为为奇数个奇数个(奇校奇校验码验码)或偶数或偶数个个(偶校偶校验码验码)。81a二、奇偶校验码奇偶校验码是一种能检验出二进制信息在传送过Bn-1Bn-2B1PBn-1Bn-2B1校校校校验验验验位位位位校校校校验码验码验码验码 82aBn-1Bn-2B1PBn-1Bn-2B1校验位校验表表1.41.4:十:十进进制数制数码码的奇偶校的奇偶校验码验码十十进进制数制数码码信息信息码码奇校奇校验码验码偶校偶校验码验码0 01 12 23 34 45 56 67 78 89 9000000000001000100100010001100110100010001010101011001100111011110001000100110011 00001 00000 00010 00010 00100 00101 00111 00110 01000 01001 01011 01011 01101 01100 01110 01110 10000 10001 10011 10010 00000 00001 00011 00011 00101 00100 00110 00111 01001 01000 01010 01010 01100 01101 01111 01111 10001 10000 10010 100183a表1.4:十进制数码的奇偶校验码十进制数码信息码奇校验码偶校信息位信息位信息位信息位检检检检测测测测器器器器编码编码编码编码器器器器P(P(P(P(检测检测检测检测位位位位)发发发发送端送端送端送端检测结检测结检测结检测结果果果果F FX X1 1X X2 2X X3 3X Xn n接收端接收端84a信息位检编码器P(检测位)发送端检测结果FX1X2X3Xn接偶偶校校验验位位发发生生器器101110111 1101110111 110111011偶偶校校验验位位检检测测器器10111011正确正确出出错错1 110101 11 10 01 11 10 01 185a偶10111101111011偶1011正确出错110111 1 0 1 1 1 01 1 1 0 1 1 1 086a110111011101110861.4.3 1.4.3 字符代字符代码码 计计算算机机处处理理的的数数据据不不仅仅有有数数码码,还还有有字字母母、标标点点符符号号,运运算算符符号号及及其其它它特特殊殊符符号号。这这些些符符号号都都必必须须用用二二进进制制代代码码来来表表示示,计计算算机机才才能能直直接接处处理理。通通常常,把把用用于于表表示示各各种种字字符符的的二二进进制代制代码码称称为为字符代字符代码码。目目前前,国国际际上上采采用用的的ASCIIASCII码码 (美美国国标标准准信信息息交交换换码码)是是一一种种常常用用的的字字符符代代码码,使使用用时时加加第第8 8位作奇偶校位作奇偶校验验位。位。87a1.4.3字符代码计算机处理的数据不仅有数码LSDB3B2B1B0MSD B6B5B400000101001110010111011100000NUKDLESP0P、p10001SOHDC1!1AQaq20010STXDC2“2BRbr30011ETXDC3#3CS c s40100EOTDC4$4DT d t50101ENQNAK%5EU e u60110ACKSYN&6FV f v70111BELETB,7GW g w81000RSCAN(8HX h x91001HTEM)9IY I yA1010LFSUB*:JZ j zB1011VTESC+;K kC1100FFFSN nF1111SIUS/?O oDEL88aLSDMSDB6B5B40000010100111001
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!