第一章基于ARM的嵌入式系统原始

上传人:cel****460 文档编号:240441060 上传时间:2024-04-10 格式:PPTX 页数:58 大小:2.25MB
返回 下载 相关 举报
第一章基于ARM的嵌入式系统原始_第1页
第1页 / 共58页
第一章基于ARM的嵌入式系统原始_第2页
第2页 / 共58页
第一章基于ARM的嵌入式系统原始_第3页
第3页 / 共58页
点击查看更多>>
资源描述
第一章 基于ARM的嵌入式系统原始第1页,共58页。主要内容主要内容RISC设计思想ARM设计思想嵌入式系统的硬件嵌入式系统的软件总结第2页,共58页。1.1 RISC设计思想设计思想 CISC:复杂指令集:复杂指令集Complex Instruction Set Computer具有大量的指令和寻址方式,指令长度可变具有大量的指令和寻址方式,指令长度可变 8/2原那么:原那么:80%的程序只使用的程序只使用20%的指令的指令大多数程序只使用少量的指令就能够运行大多数程序只使用少量的指令就能够运行 RISC:精简指令集:精简指令集Reduced Instruction Set Computer)Content Title只包含最有用的指令,指令长度固只包含最有用的指令,指令长度固确保数据通道快速执行每一条指令确保数据通道快速执行每一条指令使使CPU硬件构造设计变得更为简单硬件构造设计变得更为简单 CISC和和RISC第3页,共58页。CISC与与RISC的数据通道的数据通道IFIDREGALUMEM开场退出IFIDALUMEMREG微操作通道开场退出单通数据通道 RISC:Load/Store构造CISC:寻址方式复杂第4页,共58页。CISC的背景和特点的背景和特点 v背景:存储资源紧缺,强调编译优化v增强指令功能,设置一些功能复杂的指令,把一些原来由软件实现的、常用的功能改用硬件的微程序指令系统来实现v为节省存储空间,强调高代码密度,指令格式不固定,指令可长可短,操作数可多可少v寻址方式复杂多样,操作数可来自存放器,也可来自存储器v采用微程序控制,执行每条指令均需完成一个微指令序列vCPI ,指令越复杂,CPI越大。第5页,共58页。CISCCISC的主要缺点的主要缺点v指令使用频度不均衡。v高频度使用的指令占据了绝大局部的执行时间,扩大的复杂指令往往是低频度指令。v大量复杂指令的控制逻辑不规整,不适于VLSI工艺vVLSI的出现,使单芯片处理机希望采用规整的硬联逻辑实现,而不希望用微程序,因为微程序的使用反而制约了速度提高。(微码的存控速度比CPU慢5-10倍)。v软硬功能分配v复杂指令增加硬件的复杂度,使指令执行周期大大加长,直接访存次数增多,数据重复利用率低。v不利于先进指令级并行技术的采用v流水线技术第6页,共58页。1.1 RISC设计思想设计思想v减小CPI:CPUtime=Instr_Count*CPI*Clock_cyclev精简指令集:保存最根本的,去掉复杂、使用频度不高的指令v采用Load/Store构造,有助于减少指令格式,统一存储器访问方式v采用硬接线控制代替微程序控制第7页,共58页。RISCRISC:减少指令平均执行周期数减少指令平均执行周期数vCPUtime=Instr_Count*CPI*Clock_cyclevICRISC IC CISC,30%-40%vCCRISC CCCISCvCPIRISC CPICISC,20%v超标量、超流水线、超标量、超流水线、VLIW等系统构造,等系统构造,目标在于减目标在于减小小CPI,可使可使CPI1第8页,共58页。RISC的提出与开展的提出与开展vLoad/Store构造提出:CDC6600(1963)-CRAY1(1976)vRISC思想最早在IBM公司提出,但不叫RISC,IBM801处理器是公认表达RISC思想的机器。v1980年,Berkeley的Patterson和Dizel提出RISC名词,并研制了RISC-,实验样机。v1981年Stenford的Hennessy研制MIPS芯片。v85年后推出商品化RISC:MIPS1(1986)和SPARC V1(1987)第9页,共58页。典型的高性能典型的高性能RISC处理器处理器vSUN公司的SPARC(1987)vMIPS公司的SGI:MIPS(1986)vHP公司的PA-RISC,vIBM,Motorola公司的PowerPCvDEC、Compac公司的Alpha AXPvIBM的RS6000(1990)第一台Superscalar RISC机 第10页,共58页。CISC与与RISC的比照的比照类别CISCRISC指令系统指令数量很多较少,通常少于100执行时间有些指令执行时间很长,如整块的存储器内容拷贝;或将多个寄存器的内容拷贝到存贮器没有较长执行时间的指令编码长度编码长度可变,1-15字节编码长度固定,通常为4个字节寻址方式寻址方式多样简单寻址操作可以对存储器和寄存器进行算术和逻辑操作只能对寄存器对行算术和逻辑操作,Load/Store体系结构编译难以用优化编译器生成高效的目标代码程序 采用优化编译技术,生成高效的目标代码程序 第11页,共58页。1.1 RISC设计思想设计思想vRISC的设计重点在于降低由硬件执行的指令复杂度,这是因为软件比硬件容易提供更大的灵活性和更高的智能。因此,RISC设计对编译器有更高的要求;v相反,传统的复杂指令集的计算机(CISC)那么更重于硬件执行指令的功能性,使CISC指令更复杂。第12页,共58页。CISCRISC更高的复杂性更高的复杂性生成代码生成代码 处理器 处理器 编译器 编译器CISC强调硬件的复杂性;RISC注重编译器的复杂性图图 1.1 CISC对对RISC第13页,共58页。RISC设计准那么设计准那么RISC的指令集种类只提供简单的操作,使一个周期就可以执行一条指令。每条指令的长度都是固定的,允许流水线在当前指令译码阶段去取其下一条指令。指令的处理过程被拆分成几个更小的、能够被流水线并行执行的单元。在理想情况下,流水线每周期前进一步,可获得更高的吞吐率;而CISC指令的执行需要调用微代码的一个微程序。RISC处理器拥有更多的通用存放器。每个存放器都可存放数据或地址。存放器可为所有的数据操作提供快速的局部存储访问;而CISC处理器都是用于特定目的的专用存放器。Click to add Text指令集指令集 流水线流水线 Content Title处理器只处理存放器中的数据。存放器存放器 存储构造存储构造第14页,共58页。1.2 ARM设计思想设计思想v作为RISC构造:v指令集RISC处理器减少了指令种类。v流水线指令的处理过程被拆分成几个更小的、能够被流水线并行执行的单元。v存放器RISC处理器拥有更多的存放器。vLoad-storevARM的改进非单纯的RISC构造改善系统性能,提高代码密度:v一些特定指令的周期数可变v内嵌桶形移位器产生更复杂的指令vThumb16位指令集v条件指令v增强指令第15页,共58页。1.2 ARM设计思想设计思想vARM内核不是一个纯粹的RISC体系构造,这是为了使它能够更好地适应其主要应用领域嵌入式系统。vARM处理器的设计改进主要基于以下4个方面的原因:v便携式的嵌入式系统往往需要电池供电。为降低功耗,ARM处理器已被特殊设计成较小的核,从而延长了电池的使用时间。v高的代码密度。v在设计时每一分本钱都需要考虑。v缩小嵌入式处理器内核管芯die的面积。第16页,共58页。1.3 嵌入式系统的硬件嵌入式系统的硬件嵌入式器件主要的硬件局部嵌入式器件主要的硬件局部总线总线控制器控制器外设外设 ARM处理器处理器第17页,共58页。嵌入式器件主要的硬件局部嵌入式器件主要的硬件局部ARM处理器处理器控制整个器件。控制整个器件。控制器控制器协调系统的重要功能模块。协调系统的重要功能模块。外设外设提供芯片与外部的所有输入提供芯片与外部的所有输入/输出功能。输出功能。总线总线用于在不同器件之间进展通信。用于在不同器件之间进展通信。第18页,共58页。1.3.1 ARM总线技术总线技术微处理器微处理器CPU是嵌入式系统硬件平台是嵌入式系统硬件平台的核心构件,但不是全部。按照冯的核心构件,但不是全部。按照冯诺依诺依曼体系构造思想,计算机的硬件是由曼体系构造思想,计算机的硬件是由CPU、存储器和存储器和I/O设备三局部组成的。总线是把设备三局部组成的。总线是把CPU与存储器、与存储器、I/O设备相连接的信息通道,设备相连接的信息通道,但总线并不仅仅指的是一束信号线,而应但总线并不仅仅指的是一束信号线,而应包含相应的通信协议。按照使用场合的不包含相应的通信协议。按照使用场合的不同,总线分成芯片级总线同,总线分成芯片级总线CPU总线、总线、板卡级总线内总线和系统级总线外板卡级总线内总线和系统级总线外总线。总线。第19页,共58页。1.3.2 AMBA总线协议总线协议l高级微控制总线构造高级微控制总线构造AMBA包含包含ARM系统系统总线总线ABS和和ARM外设总线外设总线APB。lARM高性能总线高性能总线AHB能够提供比能够提供比ABS更高的更高的数据吞吐率。数据吞吐率。AHB是基于集中多总线机制是基于集中多总线机制centralized multiplexed bus scheme的。这种的。这种改变使得改变使得AHB总线能够在更高的时钟速度下运行,总线能够在更高的时钟速度下运行,并成为第一个支持并成为第一个支持64和和128位宽度的位宽度的ARM总线。总线。第20页,共58页。总线的主要参数总线的主要参数1总线的带宽总线的带宽:是这是这条总线在单位时条总线在单位时间内可以传输的间内可以传输的数据总量,它等数据总量,它等于总线位宽与工于总线位宽与工作频率的乘积。作频率的乘积。2总线的位宽总线的位宽:就是就是该总线可同时传该总线可同时传输数据的位数输数据的位数 3总线的工作时钟总线的工作时钟频率频率:电路可以保电路可以保持稳定工作的频持稳定工作的频率范围率范围 第21页,共58页。ISAvIBM 公司于公司于1981 年推出的基于年推出的基于8 位机位机PC/XT 的总线,称的总线,称为为PC 总线。总线。vIBM 公司于公司于1984 年推出了年推出了16 位位PC 机机PC/AT,其总,其总线称为线称为AT 总线。然而总线。然而IBM 公司从未公布过他们的公司从未公布过他们的AT总线规格。总线规格。v由由Intel 公司,公司,IEEE 和和EISA 集团联合开发了与集团联合开发了与IBM/AT 原装机总线意义相近的原装机总线意义相近的ISA 总线,即总线,即8/16 位的位的“工业标工业标准构造准构造(ISA-Industry Standard Architecture)总线。总线。v至至26.66MHZ,典型,典型8MHzvEISA总线,总线,32位位第22页,共58页。PCIv1991 年下半年,年下半年,Intel 公司首先提出了公司首先提出了PCI 的概念。的概念。vIntel联合联合IBM、Compaq、AST、HP、DEC 等等100 多家多家公司成立了公司成立了PCI 集团,其英文全称为:集团,其英文全称为:Peripheral Component Interconnect Special Interest Group(外围外围部件互连专业组部件互连专业组),简称,简称PCISIG。v93年发布,年发布,32位,位,33MHz。5个以上个以上PCI插槽插槽vAGP图形加速处理图形加速处理v90年代后期,年代后期,PCI-X,64位位/66MHz第23页,共58页。3GIO-PCI ExpressvPCI VS.PCI ExpressPCIPCI Express第24页,共58页。CPCIvCPCICompact PCIvPICMG协会于协会于1994提出来的一种总线接口标准,面提出来的一种总线接口标准,面向嵌入式设备向嵌入式设备v解决了解决了VME与与PCI总线不兼容问题,与总线不兼容问题,与PCI完全兼容完全兼容v高可靠性高可靠性99.999%、低价位、低价位v热插拔热插拔hot swap第25页,共58页。PC104vPC104PC104是一种专门为嵌入式控制而定义的工是一种专门为嵌入式控制而定义的工业控制总线,实质上就是一种紧凑型的业控制总线,实质上就是一种紧凑型的IEEE-P996IEEE-P996ISAISA。vPC104 PC104 有两个版本,有两个版本,8 8 位和位和16 16 位,分别与位,分别与PC PC 和和PC/AT PC/AT 相对应。相对应。PC104PLUS PC104PLUS 那么与那么与PCIPCI总线相对应。总线相对应。第26页,共58页。I2CvPHILIPS 开发了一种用于内部IC控制的简单的双向两线串行总线I2C(Inter-Integrated Circuit)v最高速率100Kbps,25英尺,最多可支持40个设备数据线时钟线第27页,共58页。CANController Area Networkv80年代末,由德国Bosch公司最先提出v被设计作为汽车环境中的微控制器通讯,在车载各电子控制装置ECU 之间交换信息,形成汽车电子控制网络。v发动机管理系统、变速箱控制器、仪表装备、电子主干系统中,均嵌入CAN 控制装置。v使用CSMA/CD协议v40米以内,1Mbps;10Km,5Kbps;理论上可以支持无限多个设备v可靠性高,误码率为10-11v抗电磁干扰性强第28页,共58页。1.3.3 存储器存储器v一个嵌入式系统必须有一定的存储器来存放和执行一个嵌入式系统必须有一定的存储器来存放和执行代码。代码。v在决定存储器的层次、宽度和类型等特性时,必须在决定存储器的层次、宽度和类型等特性时,必须综合考虑价格、性能和功耗等因素。综合考虑价格、性能和功耗等因素。v如果为了获得所需的带宽,存储器的运行速度必须如果为了获得所需的带宽,存储器的运行速度必须提高提高1倍,那么功耗也会提高。倍,那么功耗也会提高。第29页,共58页。本地存本地存储储器器 Flash、ROM、磁、磁盘盘主存主存储储器器DRAM高速高速缓缓存存SRAM存放器存放器1.3.3.1 存储层次存储层次011050100时钟周期周期第30页,共58页。1.3.3.2 存储器数据宽度存储器数据宽度v存储器的数据带宽存储器的数据带宽是指每次访问所返回的数据是指每次访问所返回的数据位数位数v 如果一个没有如果一个没有cache的系统使用的系统使用32位位ARM指令和指令和16位宽度的存储器芯片,那么处理器每次取指就需位宽度的存储器芯片,那么处理器每次取指就需要要2个个16位的存储器访问,这显然会降低系统的性能,位的存储器访问,这显然会降低系统的性能,但但16位宽度的存储器价格会相对廉价。位宽度的存储器价格会相对廉价。v 如果内核执行如果内核执行16位的位的Thumb指令,那么对于指令,那么对于16位宽度的存储器将获得更好的性能,因为处理位宽度的存储器将获得更好的性能,因为处理器获取每条指令只需要一次存储器访问。因此,对器获取每条指令只需要一次存储器访问。因此,对于于16位宽度的存储器,使用位宽度的存储器,使用Thumb指令可获得性指令可获得性能和本钱两方面的优势。能和本钱两方面的优势。第31页,共58页。1.3.3.3 存储器种类存储器种类ROMSRAM DRAMSDRAMDRAM 只能将数据保持很短的时间。为了保持数据,DRAM使用电容存储,所以 必须隔一段时间刷新refresh一次,如果存储单元没有被刷新,存储的信息就会丧失。-Read-Only Memory-PROM-EPROM-EEPROM-Flash ROM(NOR Flash 和 NADN Flash),-优点,速度快,不必配合内存刷新电路,可提高整体的工作效率-缺点,集成度低,功耗较大,一样的容量体积较大,而且价格较高,少量用于关键性系统以提高效率 同步是指Memory工作需要同步时钟,内部的命令的发送与数据的传输都以它为基准;动态是指存储阵列需要不断的刷新来保证数据不丧失ARM中常用的中常用的存储器存储器第32页,共58页。闪速存储器闪速存储器(FLASH)v相对传统的EPROM芯片,这种芯片可以用电气的方法快速地擦写 v由于快擦写存储器不需要存储电容器,故其集成度更高,制造本钱低于DRAM v它使用方便,既具有SRAM读写的灵活性和较快的访问速度,又具有ROM在断电后可不丧失信息的特点,所以快擦写存储器技术开展十分迅速 第33页,共58页。NOR技术技术vNOR技术闪速存储器是最早出现的Flash Memory,目前仍是多数供给商支持的技术架构,它源于传统的EPROM器件。v与其它Flash Memory技术相比,具有可靠性高、随机读取速度快的优势。v在擦除和编程操作较少而直接执行代码的场合,尤其是代码指令存储的应用中广泛使用。v由于NOR技术Flash Memory的擦除和编程速度较慢,而块尺寸又较大,因此擦除和编程操作所花费的时间很长,在纯数据存储和文件存储的应用中,NOR技术显得力不从心。第34页,共58页。NAND技术技术vNAND技术 Flash Memory具有以下特点:v以页为单位进展读和编程操作,1页为256或512字节;以块为单位进展擦除操作,1块为4K、8K或16K字节。具有快编程和快擦除的功能,其块擦除时间是2ms;而NOR技术的块擦除时间到达几百ms。v数据、地址采用同一总线,实现串行读取。随机读取速度慢且不能按字节随机编程。v芯片尺寸小,引脚少,是位本钱(bit cost)最低的固态存储器,突破了每兆字节1元的价格限制。v芯片包含有失效块,其数目最大可到达335块取决于存储器密度。失效块不会影响有效块的性能,但设计者需要将失效块在地址映射表中屏蔽起来。v基于NAND的存储器可以取代硬盘或其它块设备。第35页,共58页。常见的存储器扩大装置常见的存储器扩大装置vCF扩大装Compact Flashv所有Windows CE 支持第36页,共58页。常见的存储器扩大装置常见的存储器扩大装置vSD扩大装置Secure DigitalvPanasonic Scandisk Toshiba第37页,共58页。常见的存储器扩大装置常见的存储器扩大装置vMemory StickSony第38页,共58页。1.3.3.4 外设外设v嵌入式系统和外界交互需要一定形式的外设。外嵌入式系统和外界交互需要一定形式的外设。外设通过和片外其它设备或传感器的连接来实现芯设通过和片外其它设备或传感器的连接来实现芯片的输入片的输入/输出功能。每一个外设通常都只有单输出功能。每一个外设通常都只有单一的功能,也可以内置在芯片上。一的功能,也可以内置在芯片上。v控制器是特殊的外设,可在一个嵌入式系统中实现控制器是特殊的外设,可在一个嵌入式系统中实现更高层的功能。更高层的功能。v存储器控制器存储器控制器各种不同类型的存储器通过存储各种不同类型的存储器通过存储器控制器连接到处理器总线上。上电时,存储器控器控制器连接到处理器总线上。上电时,存储器控制器由硬件配置,使得某些存储器处于工作状态。制器由硬件配置,使得某些存储器处于工作状态。v中断控制器中断控制器中断控制器提供一套可编程的管中断控制器提供一套可编程的管理机制,使软件通过设置中断控制器存放器中的理机制,使软件通过设置中断控制器存放器中的相应位,来决定在任何特定时刻,那一个外设或相应位,来决定在任何特定时刻,那一个外设或器件可以中断处理器。器件可以中断处理器。第39页,共58页。红外芯片接口红外芯片接口 第40页,共58页。Bluetooth 接口接口v功耗低v100M,100mWv10M,v1M,1mWv GHz(使用ISM频段)v优势:世界范围内可用v劣势:与产品相互干扰v声音和数据传输,总带宽为1Mbpsv本钱低v低于US$5/蓝牙芯片第41页,共58页。USBUniversal Serial Bus vIBM、Compaq、Nortel、NEC、Intel以与Microsoft联合v距离5 米,Hub30米v树拓扑构造,127个点,4线2根电源线,2根数据线v低速,1.5 M bpsvUSB 2.0 速率高达480Mbpsv支持热插拔和即插即用第42页,共58页。Ethernet/Fast Ethernetv10M/100M Ethernetv100m,RJ45接口vMAC层协议 CSMA/CD第43页,共58页。IEEE1394v起源于APPLE公司1986年提出的FireWirevMPU与多媒体设备连接接口v20400M bps,高速串行总线vP1394b 1.6Gbps,100米v支持63个器件,长度米v热插拔,即插即用vSony:iLink;TI:Lynx Apple:FireWire第44页,共58页。LCD显示器显示器vLiquid Crystal Display,液晶显示器v液晶介于固态和液态v液晶棒状分子在外加电场的作用下排列状态发生变化,使得通过液晶显示器件的光被调制,从而在显示屏上呈现出不同颜色。每个显示象素都可以单独被电场控制。v适用于低压、微功耗电路第45页,共58页。v段式液晶v常见段式液晶的每字为8 段组成,即8 字和一点,只能显示数字和局部字母。v字符型液晶v字符型液晶是用于显示字符和数字的v图形点阵式液晶v又将其分为TN、STNDSTN、TFT 等几类LCD显示器类型显示器类型第46页,共58页。触摸屏触摸屏 v嵌入式系统中的触摸屏分为电阻式、电容式和电感式三种 v其中电阻式触摸屏最为常用 v电阻触摸屏的工作局部一般由三局部组成,两层透明的阻性导体层、两层导体之间的隔离层、电极 v触摸屏工作时,上下导体层相当于电阻网络,当某一层电极加上电压时,会在该网络上形成电压梯度。v如有外力使得上下两层在某一点接触,那么在电极未加电压的另一层可以测得接触点处的电压,从而知道接触点处的坐标。第47页,共58页。1.4 嵌入式系统的软件嵌入式系统的软件v一个嵌入式系统需要软件来实现具体的应用一个嵌入式系统需要软件来实现具体的应用v嵌入式系统中嵌入式系统中4个软件局部是嵌入式设备软个软件局部是嵌入式设备软件的典型构成。从底层的硬件设备层往上,件的典型构成。从底层的硬件设备层往上,每个软件层次逐层封装代码,是代码与硬件每个软件层次逐层封装代码,是代码与硬件设备别离。设备别离。第48页,共58页。在硬件上执行的软件层次在硬件上执行的软件层次第49页,共58页。1.4.1 初始化启动代码初始化启动代码初始化硬初始化硬件配置件配置启动一个映像文件是最后一个阶段,但首先必须装载这个映像文件。装载一个映像文件的过启动一个映像文件是最后一个阶段,但首先必须装载这个映像文件。装载一个映像文件的过程可以是拷贝包括代码和数据的整个程序到程可以是拷贝包括代码和数据的整个程序到RAM中,也可以只拷贝包含易变变量的数据区到中,也可以只拷贝包含易变变量的数据区到RAM中。一旦启动,系统通过更改程序计数器中。一旦启动,系统通过更改程序计数器pc指向映像文件的启示地址,将控制权交指向映像文件的启示地址,将控制权交出。出。诊断诊断引导引导“初始化代码的阶段初始化代码的阶段 诊断通常包含在初始化代码中,检测硬件目标是否工作正常诊断通常包含在初始化代码中,检测硬件目标是否工作正常诊断通常包含在初始化代码中,检测硬件目标是否工作正常诊断通常包含在初始化代码中,检测硬件目标是否工作正常装载一个映像文件并将控制权交给它装载一个映像文件并将控制权交给它初始化硬件配置使之能够引导一个映像文件初始化硬件配置使之能够引导一个映像文件第50页,共58页。例例1.1 初始化或组织存储器是初始化初始化或组织存储器是初始化代码中的一个重要局部,因为许多代码中的一个重要局部,因为许多操作系统在开场运行之前,希望了操作系统在开场运行之前,希望了解存储器的组织情况。解存储器的组织情况。0X000000000Xffffffff之前之后图图1.5 存储器重映射(存储器重映射(remapping)I/O寄存器快速SRAMDRAM大空间连续块启动ROMI/O寄存器启动ROMDRAM大空间连续快快速SRAM第51页,共58页。1.4.2 操作系统操作系统RTOS 保证对事件的响应时间。保证对事件的响应时间。RTOS的系统通常没有辅助存储器。的系统通常没有辅助存储器。平台平台OS需要一个存储管理单元需要一个存储管理单元MMU来管理庞大的非实时应用,而且来管理庞大的非实时应用,而且通常都有辅助存储器。通常都有辅助存储器。实时实时OS平台平台OS第52页,共58页。1.4.3 应用程序应用程序v操作系统调度应用程序操作系统调度应用程序为处理某个特定任务为处理某个特定任务的代码。的代码。v一个应用程序完成一个处理任务。一个应用程序完成一个处理任务。v在需要尖端高性能的应用中,并没有在需要尖端高性能的应用中,并没有ARM处理器处理器的身影。这是因为这些应用通常数量很少而本钱的身影。这是因为这些应用通常数量很少而本钱很高,很高,ARM公司并不以这种类型的应用为目标进公司并不以这种类型的应用为目标进展设计。展设计。第53页,共58页。1.5 总结总结v纯粹的纯粹的RISC是以高性能为主要目标的,但是以高性能为主要目标的,但ARM采用的是一种改进的采用的是一种改进的RISC设计思想,其设计思想,其目标是较高的代码密度和较低的功耗。目标是较高的代码密度和较低的功耗。v一个嵌入式系统通常包含了一个处理器核,一个嵌入式系统通常包含了一个处理器核,周围有周围有Cache、存储器和外设。操作系统控、存储器和外设。操作系统控制整个系统,管理应用程序任务。制整个系统,管理应用程序任务。第54页,共58页。1.5 总结总结vRISC设计思想的关键是通过简化指令的复杂度来提高性能,使用流水线来加速指令的处理,提供大量的存放器来存储数据,并使用load-store构造。vARM设计思想也包含了一些非RISC的观念或方法:v允许一些特定指令的执行周期数可变,以降低功耗,减小面积和代码尺寸;v增加了桶形移位器来扩展某些指令的功能;v使用16位的Thumb指令集来提高代码密度;v使用条件执行指令来提高代码密度和性能;v使用增强指令来实现数据信号处理的功能。第55页,共58页。1.5 总结总结v一个基于一个基于ARM的嵌入式系统通常包含以下的硬的嵌入式系统通常包含以下的硬件组成局部:件组成局部:vARM处理器处理器v控制器控制器v外设外设v总线总线v一个嵌入式系统包含以下的软件组成局部:一个嵌入式系统包含以下的软件组成局部:v初始化程序初始化程序v设备驱动设备驱动v操作系统操作系统v应用程序应用程序第56页,共58页。Q&A1)RISC设计思想?设计思想?2)ARM设计思想?设计思想?3)ARM指令集和指令集和RISC指令集的不同点是什么?指令集的不同点是什么?4)嵌入式系统的硬件包含哪几局部?嵌入式系统的硬件包含哪几局部?5)嵌入式系统的软件包含哪些内容?嵌入式系统的软件包含哪些内容?6)嵌入式系统启动过程?嵌入式系统启动过程?第57页,共58页。汇报结束谢谢大家!请各位批评指正第58页,共58页。
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 中学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!