EDA综合课程设计

上传人:ca****in 文档编号:202121740 上传时间:2023-04-21 格式:PPT 页数:80 大小:515KB
返回 下载 相关 举报
EDA综合课程设计_第1页
第1页 / 共80页
EDA综合课程设计_第2页
第2页 / 共80页
EDA综合课程设计_第3页
第3页 / 共80页
点击查看更多>>
资源描述
EDA综合课程设计综合课程设计本本章章简简述述了了EDA技技术术的的发发展展及及其其主主要要构构成成,使使我我们们对对EDA技技术术的的全全貌貌、构构成成要要素及其工程设计过程有一个全面的了解。素及其工程设计过程有一个全面的了解。基本要求基本要求一、一、EDA-V型实验系统介绍型实验系统介绍二、总结报告与注意事项二、总结报告与注意事项EDA综合课程设计(一)综合课程设计(一)EDA综合课程设计(二)综合课程设计(二)EDA综合课程设计(三)综合课程设计(三)EDA综合课程设计(四)综合课程设计(四)EDA综合课程设计(五综合课程设计(五)EDA综合课程设计(六)综合课程设计(六)一、一、EDA-V型实验系统介绍型实验系统介绍系统整体结构图系统整体结构图如图所示如图所示。2、将要用到的主要模块、将要用到的主要模块(1)8位七段数码管显示模块位七段数码管显示模块8位七段数码管显示模块位七段数码管显示模块如图所示如图所示。1、系统整体结构图系统整体结构图数码管为共阴数码管。本模块的输入口共有数码管为共阴数码管。本模块的输入口共有11个,其中个,其中8个段信号输入口,分别为个段信号输入口,分别为a、b、c、d、e、f、g、dp;3个位信号输入口,分别为个位信号输入口,分别为sel0、sel1、sel2。其中其中sel0、sel1、sel2位于位于1616点阵点阵模块区,它们经模块区,它们经3-8译码器后送给数码管作位选信译码器后送给数码管作位选信号,号,最右边为第一位最右边为第一位,对应关系如下表对应关系如下表:接口序号接口序号数码管状态数码管状态SEL2SEL1SEL0111第第1位亮位亮110第第2位亮位亮101第第3位亮位亮100第第4位亮位亮011第第5位亮位亮010第第6位亮位亮001第第7位亮位亮000第第8位亮位亮(2)1616点阵模块点阵模块8位位8段共阴段共阴LED数码管显示输出扫描驱动电数码管显示输出扫描驱动电路和路和1616LED点阵管显示屏扫描驱动电路的原点阵管显示屏扫描驱动电路的原理理如图所示如图所示。行选信号为行选信号为L0L15,最上方为第一行,最最上方为第一行,最右右边为第一列边为第一列;列选信号为;列选信号为SEL0SEL3经经4-16线译线译码器后给出。对应关系如下表码器后给出。对应关系如下表:SEL3SEL2SEL1SEL0点亮列号点亮列号1111第第1列列1110第第2列列1101第第3列列1100第第4列列1011第第5列列1010第第6列列1001第第7列列1000第第8列列0111第第9列列0110第第10列列0101第第11列列0100第第12列列0011第第13列列0010第第14列列0001第第15列列0000第第16列列(3)CPLD/FPGA适配器接口适配器接口(5)18位拨码开关输入模块位拨码开关输入模块(4)12位按键输入模块位按键输入模块下载该芯片时将芯片选择开关拨向下载该芯片时将芯片选择开关拨向CPLD。12位按键输入模块位按键输入模块如图所示如图所示,开关弹起时为高电开关弹起时为高电平,按下时为低电平。输出口最左边对应开关平,按下时为低电平。输出口最左边对应开关K1。开关拨向下时为低电平,拨向上时为高电平。输开关拨向下时为低电平,拨向上时为高电平。输出口最左边对应开关出口最左边对应开关D17,最右边对应开关最右边对应开关D0。(6)蜂鸣器输出模块蜂鸣器输出模块信号为高电平时蜂鸣器响信号为高电平时蜂鸣器响。(7)82LED灯灯82LED灯灯由由16个个发光二极管组成,给插座送发光二极管组成,给插座送入高电平,相应的入高电平,相应的LED点亮;送入低电平,相应点亮;送入低电平,相应的的LED不不亮。亮。返返回回时钟信号源可产生从时钟信号源可产生从1.2Hz20MHz之间的任之间的任意频率。该电路采用全数字化设计,提供的最高意频率。该电路采用全数字化设计,提供的最高方波频率为方波频率为20MHz,最低频率为最低频率为1.2Hz,并且频率并且频率可以在这个范围内随意组合变化。整个信号源共可以在这个范围内随意组合变化。整个信号源共有有6个输出口(个输出口(CLK0CLK5),),每个输出口输每个输出口输出的频率各不相同,通过出的频率各不相同,通过JP1JP11这这11组跳线来组跳线来完成设置。具体设置方案见实验指导书。完成设置。具体设置方案见实验指导书。(8)可调数字信号源)可调数字信号源二、总结报告与注意事项二、总结报告与注意事项 严禁带电插拔严禁带电插拔严禁带电插拔严禁带电插拔“JTAG”JTAG”下载电缆!下载电缆!下载电缆!下载电缆!为了安为了安全地使用下载电缆,防止损坏下载电缆中的器件全地使用下载电缆,防止损坏下载电缆中的器件和计算机主板的并口,和计算机主板的并口,应应应应在计算机及实验箱均断在计算机及实验箱均断在计算机及实验箱均断在计算机及实验箱均断电的情况下,插入或拔出下载电缆。电的情况下,插入或拔出下载电缆。电的情况下,插入或拔出下载电缆。电的情况下,插入或拔出下载电缆。1、实验注意事项、实验注意事项 确认完全断电确认完全断电下载电缆并口与计算机下载电缆并口与计算机并口相连并口相连下载电缆下载电缆JTAG口与实验箱的口与实验箱的JTAG口相连口相连接通实验箱电源接通实验箱电源接通计算接通计算机电源;机电源;插入下载电缆的步骤:插入下载电缆的步骤:拔出下载电缆的步骤:拔出下载电缆的步骤:关闭实验箱电源关闭实验箱电源拔下拔下JTAG电缆插头电缆插头实验箱内部连线实验箱内部连线接通实验箱电源接通实验箱电源进进行功能验证。行功能验证。测试完毕,先断掉测试完毕,先断掉EDA实验箱的电源,再把实验箱的电源,再把JTAG电缆的小插头插入实验箱的电缆的小插头插入实验箱的JTAG插座,然插座,然后接通实验箱电源,准备下一次的设计下载。后接通实验箱电源,准备下一次的设计下载。内容:内容:总结报告应至少包括以下内容:总结报告应至少包括以下内容:(1)封面、前言、目录、任务书(合作人、分工)封面、前言、目录、任务书(合作人、分工方案);方案);(2)正文;(设计要求、实验目的、实验方案、)正文;(设计要求、实验目的、实验方案、实验原理、硬件要求、实验步骤、源程序实验原理、硬件要求、实验步骤、源程序(*.vhd)和)和原理图(原理图(*gdf)仿真调试和下载结仿真调试和下载结果、硬件测试报告、数据处理及分析结果等等)。果、硬件测试报告、数据处理及分析结果等等)。(3)收获和体会;对设计工作的总结与展望;参)收获和体会;对设计工作的总结与展望;参考文献。考文献。2、总结报告的书写要求、总结报告的书写要求要求:要求:(1)内容完整,主题突出,详略得当,语言流)内容完整,主题突出,详略得当,语言流畅;畅;(2)书写格式规范,条理清晰,图文结合,手)书写格式规范,条理清晰,图文结合,手写本应字迹清楚、工整。写本应字迹清楚、工整。(3)必须独立完成,不允许大段抄写参考资料)必须独立完成,不允许大段抄写参考资料中的内容,作同一设计的同学,报告不允许雷中的内容,作同一设计的同学,报告不允许雷同。同。(4)对程序文本的书写和电路图以及示意图的)对程序文本的书写和电路图以及示意图的作图要规范、美观。作图要规范、美观。返返回回EDA综合课程设计(一)综合课程设计(一)7段数码管控制接口段数码管控制接口一、一、设计内容设计内容 1、设设计计一一个个带带使使能能输输入入、进进位位输输出出及及同同步步清清0的的增增1十进制计数器,波形图见十进制计数器,波形图见图图1-1;图图1-1计数器计数器1波形图波形图2、设设计计一一个个带带使使能能输输入入及及同同步步清清0的的增增1十十二二进进制制计数器,波形图见图计数器,波形图见图1-2;图图1-2计数器计数器2波形图波形图3、设设计计一一个个带带使使能能输输入入及及同同步步清清0的的六六十十进进制制同同步加法计数器;步加法计数器;4、设计一个四位二进制可逆计数器;、设计一个四位二进制可逆计数器;5、设设计计一一个个共共阴阴7段段数数码码管管控控制制接接口口,要要求求:在在时时钟钟信信号号的的控控制制下下,使使6位位数数码码管管动动态态刷刷新新显显示示上上述计数器的计数结果述计数器的计数结果。在在实实验验仪仪器器中中,8 8位位7 7段段数数码码显显示示的的驱驱动动电电路路已已经经做做好好,并并且且其其位位选选信信号号(SEL7.0SEL7.0)为为一一3-83-8译译码码器器的的输输出出,所所以以我我们们在在设设计计7 7段段数数码码管管控控制制接接口口时时,其位选信号输出必须经其位选信号输出必须经8-38-3编码。编码。二、二、设计要求设计要求 显示控制器的引脚图如所示。显示控制器的引脚图如所示。图中:图中:CP为时钟输入端,为时钟输入端,SEGOUT7.0为段驱为段驱动输出;动输出;SELOUT2.0为位选信号输出;为位选信号输出;NUMOUT3.0为当前显示的数据输出。为当前显示的数据输出。7段显示控制器仿真波形图。段显示控制器仿真波形图。6位数码管是轮流点亮的,以位数码管是轮流点亮的,以NUMOUT=1为为例:例:当当SELOUT为为000时,点亮第一位显示器,显示的数时,点亮第一位显示器,显示的数字为字为1,同时,同时,NUMOUT输出的数据也为输出的数据也为“0001”。同理,当同理,当SELOUT为为001时,点亮第二位显示器,显时,点亮第二位显示器,显示数字为示数字为1,直到,直到6位显示器全都显示完毕,等待进位显示器全都显示完毕,等待进入下一个数字的显示。入下一个数字的显示。同时,还有一个问题不可忽视,就是位扫描同时,还有一个问题不可忽视,就是位扫描信号的频率至少需要多少以上,才能使显示器不信号的频率至少需要多少以上,才能使显示器不闪烁?简单的说,只要第个扫描频率超过人的眼闪烁?简单的说,只要第个扫描频率超过人的眼睛视觉暂留频率睛视觉暂留频率24HZ24HZ以上就可以达到点亮单个显以上就可以达到点亮单个显示,却能享有示,却能享有6 6个同时显示的视觉效果,而且显示个同时显示的视觉效果,而且显示也不闪烁。也不闪烁。当当输输入入频频率率为为5MHZ时时,通通过过加加法法计计数数器器来来产产生生一一个个约约300HZ的的信信号号,并并且且由由它它来来产产生生位位选选信信号号,请请参参考考下面程序段:下面程序段:PROCESS(CP)-计数器计数计数器计数BeginIFCPEventANDCP=1thenQ=Q+1;ENDIF;ENDPROCESS;NUM=Q(24DOWNTO21);-about1HzS24HZ,所以不所以不会有闪烁情形产生。会有闪烁情形产生。三、实验连线三、实验连线 计数器的输入时钟信号接时钟电路的相应输出计数器的输入时钟信号接时钟电路的相应输出(CLK0CLK5),),复位信号接拨码开关或按键,输出复位信号接拨码开关或按键,输出信号接信号接七段显示译码器的数据输入端;七段显示译码器的数据输入端;将七段显示译码起的将七段显示译码起的CP端接时钟输出,并使输入频端接时钟输出,并使输入频率约为率约为5MHZ,SEGOUT7.0分别接显示模块的分别接显示模块的DPA,SELOUT2.0分别接显示模块的分别接显示模块的SEL2SEL0,NUMOUT接接4个发光二极管。个发光二极管。EDA综合课程设计(二)综合课程设计(二)数字秒表设计数字秒表设计一、设计内容一、设计内容秒秒表表的的逻逻辑辑结结构构较较简简单单,它它主主要要由由显显示示译译码码器器、分分频频器器、十十进进制制计计数数器器、六六进进制制计计数数器器和和报报警警器器组组成成。在在整整个个秒秒表表中中最最关关键键的的是是如如何何获获得得一一个个精精确确的的100HZ计计时时脉脉冲冲,除除此此之之外外,整整个个秒秒表表还还需需有有一一个个启启动动信信号号和一个归零信号,以便秒表能随意停止及启动。和一个归零信号,以便秒表能随意停止及启动。秒秒表表共共有有6个个输输出出显显示示,分分别别为为百百分分之之一一秒秒、十十分分之之一一秒秒、秒秒、十十秒秒、分分、十十分分,所所以以共共有有6个个计计数数器器与与之之相相对对应应,6个个计计数数器器的的输输出出全全都都为为BCD码码输输出出,这这样样便便于于和和显显示示译译码码器器的的连连接接。当当计计时时达达60分分钟钟后后,蜂鸣器鸣响蜂鸣器鸣响10声。声。二、设计要求二、设计要求四四个个10进进制制计计数数器器:用用来来分分别别对对百百分分之之一一秒秒、十十分之一秒、秒和分进行计数;分之一秒、秒和分进行计数;两两个个6进进制制计计数数器器:用用来来分分别别对对十十秒秒和和十十分分进进行行计计数;数;分频器:用来产生分频器:用来产生100HZ计时脉冲;计时脉冲;显示译码器:完成对显示的控制。显示译码器:完成对显示的控制。数字秒表内部结构数字秒表内部结构如图所示如图所示。三、设计步骤三、设计步骤1.根根据据电电路路持持点点,用用层层次次设设计计概概念念将将此此设设计计任任务务分分成成若若干干模模块块,规规定定每每一一模模块块的的功功能能和和各各模模块块之之间间的的接接口口。让让几几个个学学生生分分做做和和调调试试其其中中之之一一,然然后后再再将将各各模模块块合合起起来来联联试试。以以培培养养学学生生之之间间的的合合作作精精神神,同时加深层次化设计概念。同时加深层次化设计概念。2.了了解解软软件件的的元元件件管管理理深深层层含含义义,以以及及模模块块元元件件之之间间的的连连接接概概念念,对对于于不不同同目目录录下下的的同同一一设设计计,如如何何熔合。熔合。3.适适配配划划分分前前后后的的仿仿真真内内容容有有何何不不同同概概念念,仿仿真真信信号号对对象象有有何何不不同同,让让学学生生有有更更深深一一步步了了解解。熟熟悉悉了了CPLD设计的调试过程中手段的多样化。设计的调试过程中手段的多样化。4.按按适适配配划划分分后后的的管管脚脚定定位位,同同相相关关功功能能块块硬硬件件电电路接口连线。路接口连线。5.所有模块全用所有模块全用VHDL语言描述。语言描述。四、硬件要求四、硬件要求 (1)主芯片)主芯片EPF10K10LC84-4。(2)6位八段扫描共阴级数码显示管。位八段扫描共阴级数码显示管。(3 3)二个按键开关(归零,启动)。)二个按键开关(归零,启动)。五、实验连线五、实验连线输入接口:输入接口:1 1代代表表归归零零,启启动动信信号号RESET、START的的管管脚脚分分别连接按键开关。别连接按键开关。2 2蜂鸣器鸣响信号蜂鸣器鸣响信号SPEAKER接蜂鸣器的输入。接蜂鸣器的输入。3 3代代表表计计数数时时钟钟信信号号CLK的的管管脚脚同同2.5MHZ时时钟钟源源相连。相连。输出接口输出接口:代表扫描显示的驱动信号管脚代表扫描显示的驱动信号管脚SEL2,SEL1,SEL0和和AG参照设计一中的连法。参照设计一中的连法。返返回回EDA综合课程设计(三)综合课程设计(三)数字钟设计数字钟设计一、设计内容一、设计内容1 1具具有有时时,分分,秒秒,计计数数显显示示功功能能,以以24小小时时循循环计时。环计时。2 2具有清零,调节小时、分钟功能。具有清零,调节小时、分钟功能。3.3.具具有有整整点点报报时时功功能能,整整点点报报时时的的同同时时LEDLED灯灯花花样显示。样显示。1掌握多位计数器相连的设计方法。掌握多位计数器相连的设计方法。2掌掌握握十十进进制制、六六进进制制、二二十十四四进进制制计计数数器器的的设设计方法。计方法。3巩固多位共阴极扫描显示数码管的驱动及编码。巩固多位共阴极扫描显示数码管的驱动及编码。4掌握扬声器的驱动。掌握扬声器的驱动。5LED灯的花样显示。灯的花样显示。6.6.掌握掌握EDAEDA技术的层次化设计方法。技术的层次化设计方法。二、设计要求二、设计要求三、硬件要求三、硬件要求1主芯片主芯片EPF10K10LC84-4。28个个LED灯。灯。3蜂鸣器。蜂鸣器。48位八段扫描共阴极数码显示管。位八段扫描共阴极数码显示管。5 5三个按键开关(清零,调小时,调分钟)。三个按键开关(清零,调小时,调分钟)。四、实验原理四、实验原理在同一芯片在同一芯片EPF10K10上集成了如下电路模块:上集成了如下电路模块:1时钟计数:时钟计数:秒秒60进制进制BCD码计数;码计数;分分60进制进制BCD码计数;码计数;时时24进制进制BCD码计数;码计数;同同时时整整个个计计数数器器有有清清零零,调调分分,调调时时功功能能。在在接接近近整数时间能提供报时信号。整数时间能提供报时信号。2具具有有驱驱动动8位位八八段段共共阴阴扫扫描描数数码码管管的的片片选选驱驱动动信信号输出和八段字形译码输出。号输出和八段字形译码输出。3蜂鸣器在整点时有报时驱动信号产生。蜂鸣器在整点时有报时驱动信号产生。4 4LEDLED灯在整点时有花样显示信号产生。灯在整点时有花样显示信号产生。五、模块说明五、模块说明各种进制的计数及时钟控制模块(各种进制的计数及时钟控制模块(10进制、进制、6进制、进制、24进制)进制);扫描分时显示、译码模块扫描分时显示、译码模块;彩灯、扬声器编码模块彩灯、扬声器编码模块;各模块都用各模块都用VHDL语言编写。语言编写。各功能模块连接示意图各功能模块连接示意图如图所示如图所示。六、实验连线六、实验连线输入接口:输入接口:1 1代代表表清清零零、调调时时、调调分分信信号号RESET、SETHOUR、SETMIN的管脚分别连接按键开关。的管脚分别连接按键开关。2 2代代表表计计数数时时钟钟信信号号CLK和和扫扫描描时时钟钟信信号号CLKDSP的的管管脚脚分分别别同同1HZ时时钟钟源源和和32HZ(或或更更高高)时时钟钟源源相相连。连。输出接口:输出接口:1 1代代表表扫扫描描显显示示的的驱驱动动信信号号管管脚脚SEL2,SEL1,SEL0和和AG参照设计一中的连法。参照设计一中的连法。2 2代代表表扬扬声声器器驱驱动动信信号号的的管管脚脚SPEAK同同扬扬声声器器驱驱动接口动接口SPEAKER相连。相连。3 3 代代 表表 花花 样样 LED灯灯 显显 示示 的的 信信 号号 管管 脚脚 LAMP0LAMP2同三个同三个LED灯相连。灯相连。返返回回EDA综合课程设计(四)综合课程设计(四)16X16点阵显示综合实验点阵显示综合实验 一、一、设计内容设计内容设设计计一一个个共共阴阴16X16点点阵阵控控制制接接口口,要要求求:在在时时钟钟信信号号的的控控制制下下,使使点点阵阵动动态态点点亮亮,点点亮亮方方式式自行设计,其中列选信号为自行设计,其中列选信号为16-4编码器编码输出。编码器编码输出。16X16点阵点阵控制接口控制接口二、设计要求二、设计要求其其中中:DIN3.0为为显显示示花花样样模模式式选选择择,高高电电平平有有效效;CLK为为时时钟钟输输入入端端;DOTOUT15.0为为行行驱驱动动信信号号输输出出;SELOUT3.0为为列列选选信信号号输输出出,为为16-4编码信号。编码信号。控制器的引脚功能图如图所示。控制器的引脚功能图如图所示。图案图案1实现实现16X16点阵的点阵的16列同时从上往下依次列同时从上往下依次点亮,全亮后点亮,全亮后16列又同时从下往上依次熄列又同时从下往上依次熄灭。灭。列列选选信信号号:采采用用与与7段段数数码码管管的的位位选选信信号号一一样样的的处处理方法,即列扫描信号频率大于理方法,即列扫描信号频率大于24HZ。行行驱驱动动信信号号:可可以以采采用用移移位位的的方方法法,可可先先定定义义一一个个16位位的的信信号号,若若最最高高位位置置为为1,我我们们采采用用右右移移的的方方法法,使使每每一一位位都都置置1,这这就就实实现现依依次次点点亮亮;当当第第0位位也也置置1后后,给给第第0位位置置0,再再采采用用左左移移的的方方法法将将每每一一位位又又重重新新置置0,这这样样就就实实现现了了反反相相依依次次熄熄灭,等第灭,等第15位为位为0时,又重新开始,以此循环。时,又重新开始,以此循环。对于其他的显示花样,请自行设计。对于其他的显示花样,请自行设计。三、实验连线三、实验连线 将将CP端端接接时时钟钟输输出出,并并使使输输入入频频率率约约为为1MHZ,DIN3.0分分 别别 接接 4位位 拨拨 码码 开开 关关,DOTOUT15.0分分 别别 接接 显显 示示 模模 块块 的的 L15L0,SELOUT3.0分别接显示模块的分别接显示模块的SEL3SEL0。一、实验目的一、实验目的二、硬件要求二、硬件要求16X16点阵字符发生器点阵字符发生器1主芯片主芯片EPF10K10LC84-4。2可变时钟源。可变时钟源。3带有事先编程好字库带有事先编程好字库/字符的字符的E2PROM2864。41616扫描扫描LED点阵。点阵。1、了解点阵字符的产生和显示原理。了解点阵字符的产生和显示原理。2、了解、了解E2PROM和和1616点阵点阵LED的工作机理。的工作机理。3 3、加强对总线产生、地址定位的加强对总线产生、地址定位的CPLDCPLD实现的理解。实现的理解。三、实验原理三、实验原理1616扫扫描描LED点点阵阵的的工工作作原原理理同同8位位扫扫描描数数码码管管类类似似。它它有有16个个共共阴阴极极输输出出端端口口,每每个个共共阴阴极极对对应应有有16个个LED显显示示灯灯。所所以以其其扫扫描描译译码码地地址址需需4位位信信号线。号线。2864E2PROM存存贮贮器器是是电电可可擦擦除除/编编程程的的只只读读存存贮贮器器,容容量量为为8k8bit,有有13位位并并行行地地址址线线和和8位位并并行行数数据据线线,而而一一个个完完整整的的字字符符所所需需的的存存贮贮容容间间为为32字字节节即即328bit,也也就就是是说说2864最最多多可可连连续续存存256个个1616点阵字形。存贮方式可事先约定好。点阵字形。存贮方式可事先约定好。四、字库格式说明四、字库格式说明 这是一这是一16161616点阵字库,一个字占点阵字库,一个字占3232个字节,例个字节,例如如“正正”(如图所示如图所示),),所对应的所对应的32个字节是:个字节是:W0“00000000”,W1“00000000”,W2“00000000”,W3“00000000”,W4“00010000”,W5“00001000”,W6“00010000”,W7“00001000”本本实实验验就就是是要要通通过过CPLDCPLD芯芯片片产产生生读读时时序序,将将字字形形从从28642864中中读读出出,然然后后产产生生写写时时序序,写写入入16161616的的点阵,使其扫描显示输出。点阵,使其扫描显示输出。五、实验步骤五、实验步骤1、用用EPF10K10芯芯片片产产生生2864的的地地址址和和读读信信号号,A12A0,OE,2816的的 CS片片 选选 接接“0”,VPP接接“1”。2、用用EPF10K10芯芯片片接接收收2864的的数数据据信信号号D7D0,对对外外产产生生1616点点阵阵的的扫扫描描驱驱动动电电路路,其其中中段段驱驱动动HOUT07、LOUT07;片选地址片选地址SEL3SEL0。3 3、对对28642864中中的的字字符符地地址址映映射射,编编写写相相应应的的顺顺序序的的读读过过程程信信号号和和写写过过程程信信号号,以以及及相相应应的的扫扫描描顺顺序序。用用层层次次化化设设计计调调试试,实实现现设设计计功功能能,进进行行适适配配划划分分,根根据据结果调整,改进设计。结果调整,改进设计。4、实验连线。、实验连线。(1)输入接口:输入接口:代代表表扫扫描描和和地地址址产产生生的的时时钟钟信信号号管管脚脚同同可可调调时时钟钟源源相相连连,扫扫描描时时钟钟CKDSP不不低低于于250HZ,读读操操作作时时钟钟CLK不不低低于于扫扫描描时时钟钟的的20倍倍;汉汉字字选选择择时时钟钟HZSEL在在1HZ左右。左右。代代表表字字形形数数据据的的信信号号管管脚脚D7D0同同2864的的数数据口相连。据口相连。(2)输出接口:输出接口:代代表表地地址址信信号号的的管管脚脚A0A9同同2864的的地地址址相相应端相连;应端相连;代代表表扫扫描描驱驱动动的的HOUT07、LOUT07,以以及及SEL0SEL3信信号号管管脚脚同同1616LED点点阵阵的的段段驱驱动动和片选地址端相连;和片选地址端相连;16X1616X16点阵字符发生器的功能框图如图所示。点阵字符发生器的功能框图如图所示。返返回回EDA综合课程设计(五)综合课程设计(五)数显式电容表数显式电容表 一、设计内容一、设计内容设计安装并调试一个数显式电容表电路设计安装并调试一个数显式电容表电路,要求如下:要求如下:1、能测量、能测量10F-990F范围内的电容量。范围内的电容量。2、用用两两只只LED数数码码管管构构成成数数字字显显示示器器。数数码码管管用用来来显显示示后后两两位位,均均用用十十进进制制数数表表示示,即即数数字字显显示示器器可可显显示示出的最大数字和最小数字分别为出的最大数字和最小数字分别为99和和0。3、数数字字显显示示器器所所显显示示的的数数字字N与与被被测测电电容容量量CX的的函函数关系是数关系是N=Cx/(10F)4、在在正正常常工工作作条条件件下下,测测量量电电路路接接上上CX后后数数字字显显示示器器便便可可自自动动显显示示出出数数字字,即即不不需需要要测测试试者者进进行行清清零零、启启动动之之类类的的操操作作,便便可可正正常常显显示示。响响应应时时间间Tx不不超超过过两两秒秒钟钟,即即接接上上CX在在2s之之内内,显显示示器器所所显显示示的的数数字字N符符合合上上述述函函数数关关系系,其其误误差差的的绝绝对对值值在在给给定定器器件件的的前前提提下下尽尽量量小小(例例如如不不超超过过8/lOON+2,设设环环境境温温度度在在15-25范围内范围内)。5、在在正正常常测测量量范范围围内内,显显示示数数目目应应当当清清晰晰,但但允允许许有有所闪动。所闪动。6、当被测电容超过、当被测电容超过990F,则数码管呈暗状态。则数码管呈暗状态。7、测测量量电电路路应应有有接接被被测测电电容容器器的的两两根根输输入入端端,两两输输入入端端应应有有高高电电位位(“+”端端)和和低低电电位位(“-”端端)之之分分,以以便便接接被被测测电电容容的的正正负负极极性性端端,而而且且它它们们的的开开路路瞬瞬时时值最大不超过值最大不超过5.5V。二、设计要求二、设计要求1、选择总体方案、选择总体方案本本方方案案是是利利用用单单稳稳或或电电容容充充放放电电规规律律,可可以以把把被被测测电电容容量量的的大大小小转转换换成成脉脉冲冲的的宽宽窄窄,即即脉脉冲冲的的宽宽度度TX与与CX正正比比,把把此此脉脉冲冲作作为为门门控控信信号号,即即和和频频率率固固定定不不变变的的方方波波相相与与,便便得得到到计计数数脉脉冲冲,将将它它送送给给计计数数、译译码码显显示示器器,若若时时钟钟脉脉冲冲的的频频率率等等参参数数合合适适,便便可可实实现现题题中中所要求的函数关系。所要求的函数关系。总体设计方案总体设计方案2 2、单元电路设计要点、单元电路设计要点(1 1)低频方波发生器)低频方波发生器低低频频方方波波发发生生器器的的作作用用是是用用来来控控制制计计数数控控制制电电路路周周期期性的产生门控信号。性的产生门控信号。(2 2)充放电开关电路)充放电开关电路控制电容的充放电过程。控制电容的充放电过程。(3 3)电压电压比比较较器和衰减整型器和衰减整型电电路路将电容充放电波形转换为符合要求的数字信号。将电容充放电波形转换为符合要求的数字信号。(4 4)时钟时钟脉冲脉冲发发生器生器其作用是其作用是产产生生计计数脉冲数脉冲cpcp。(5 5)计计数器、数器、译码译码器及数器及数码显码显示示 由由于于计计数数器器的的最最大大容容量量为为9999,个个位位和和十十位位应应各各用用一一个个BCDBCD码码计计数数器器,可可选选用用一一片片74LS7474LS74和和一一片片CD4518CD4518。对对照它照它们们的引脚的引脚图图及功能表很容易完成上述芯片的及功能表很容易完成上述芯片的连连接。接。译码译码器的作用是将器的作用是将BCDBCD码译码码译码供供LEDLED数数码码管管显显示。示。(6 6)清零清零单稳单稳清清零零信信号号的的作作用用是是在在每每个个计计数数周周期期开开始始时时,首首先先对对计计数数器器等等进进行行清清零零复复位位,它它应应该该是是个个窄窄脉脉冲冲(周周期期)信信号。号。三、三、预习要求预习要求1 1、熟悉、熟悉题题目的任目的任务务和要求;和要求;2 2、熟熟悉悉第第四四部部分分总总体体方方案案和和单单元元电电路路的的设设计计过过程程,完完成成单单元元电电路路设设计计和和具具体体参参数数估估算算,画画出出详详细细实实验验电电路。路。3 3、掌掌握握所所选选器器件件的的引引脚脚功功能能和和应应用用方方法法,计计算算出出主主要要器器件件(集集成成电电路路芯芯片片)的的数数量量,初初步步规规划划器器件件的的总总体安装布局。体安装布局。4 4、掌掌握握工工作作原原理理,写写出出详详尽尽的的安安装装、调调试试步步骤骤和和各各点正常情况下的波形点正常情况下的波形图图及其有关参数,做到心中有数。及其有关参数,做到心中有数。5 5、了解有关安装、了解有关安装、调试调试的技的技术术和方法。和方法。四、设计步骤四、设计步骤1 1、设计各部分电路。、设计各部分电路。2 2、画出整体电路图。、画出整体电路图。3 3、分分调调和和联调联调电路。电路。五、总结报告要求五、总结报告要求1 1、预习要求中的所有要求;、预习要求中的所有要求;2 2、分调和联调中出现的问题及其解决方法;、分调和联调中出现的问题及其解决方法;3 3、实验结果的全面分析、评价,得出结论;、实验结果的全面分析、评价,得出结论;4 4、实验体会及你对本题目的改进意见。、实验体会及你对本题目的改进意见。返返回回EDA综合课程设计(六)综合课程设计(六)彩灯控制器彩灯控制器一、设计目的一、设计目的l.l.学学习习用用MSICMSIC和和SSICSSIC设计实设计实用用电电路的方法。路的方法。2.2.掌掌握握移移位位寄寄存存器器、数数据据选选择择器器、计计数数器器、分分频频器器、单稳态单稳态触触发发器的功能与器的功能与综综合合应应用。用。3.3.熟熟悉悉环环形形计计数数器器和和扭扭环环形形计计数数器器的的计计数数状状态态及及其其应应用。用。4.4.掌握同步掌握同步时时序序电电路的路的设计设计方法。方法。5.5.熟熟悉悉实实用用脉脉冲冲与与数数字字电电路路的的安安装装、调调试试、故故障障分分析析及其排除方法。及其排除方法。二、设计要求二、设计要求 用用给给定定ICIC设设计计、安安装装与与调调试试彩彩灯灯控控制制器器,具具体体要要求求如下如下:l.l.控制器有四组输出控制器有四组输出,每组至少能驱动四只每组至少能驱动四只LEDLED。2.2.设设计计用用十十六六只只LEDLED组组成成的的彩彩灯灯图图案案。图图案案的的状状态态变变换至少有三种换至少有三种,并且能定时自动切换。并且能定时自动切换。3.3.彩灯图案状态变换的速度至少有快、慢两种。彩灯图案状态变换的速度至少有快、慢两种。4.4.安装并调试彩灯控制器。安装并调试彩灯控制器。三、设计步骤三、设计步骤 彩彩灯灯图图案案的的变变换换一一般般有有旋旋转转、移移位位、闪闪动动三三种种。彩彩灯灯图图案案多多种种多多样样的的状状态态变变换换又又常常以以环环行行和和扭扭环环行行十十数数器器的的多多种种计计数数循循环环状状态态作作为为基基础础。一一般般环环形形和和扭扭环环形形计计数数器器可可以以由由移移位位寄寄存存器器经经过过适适当当地地反反馈馈连连线线构构成成,只只要要改改变变移移位位脉脉冲冲的的频频率率就就可可以以改改变变由由一一种种状状态态变变换换到到另另一一种种状状态态的的变变换换速速度度。若若用用规规则则或或不不规规则则的的顺顺序序脉脉冲冲信信号号控控制制图图案案状状态态变变换换保保持持的的时时间,就可以实现多种图案变换的自动切换。间,就可以实现多种图案变换的自动切换。第一种图案变换:彩灯左右摆动。第一种图案变换:彩灯左右摆动。状态图为:状态图为:第二种图案变换:暗带移动第二种图案变换:暗带移动状态图为:状态图为:彩灯图案彩灯图案 第第三三种种图图案案变变换换:彩彩带带一一条条一一条条亮亮,然然后后再再一一条条一一条条熄灭。熄灭。状态图为:状态图为:其其中中第第一一种种和和第第二二种种图图案案可可以以构构成成环环行行计计数数器器,第第三三种图案可以构成扭环行计数器。种图案可以构成扭环行计数器。彩灯图案彩灯图案四、预习要求四、预习要求1.1.熟悉实验中所用熟悉实验中所用ICIC的外引线、功能及使用方法。的外引线、功能及使用方法。2.2.熟悉熟悉CMOSCMOS电路和电路和TTLTTL电路的接口技术。电路的接口技术。3.3.熟悉彩灯控制器的工作原理。熟悉彩灯控制器的工作原理。4.4.按按设设计计要要求求设设计计彩彩灯灯图图案案及及图图案案变变换换的的状状态态。画画出出时时序序变变换换表表,表表中中包包括括每每种种图图案案变变换换的的状状态态图图、变变换换速度、保持时间和几种图案变换的顺序。速度、保持时间和几种图案变换的顺序。5.5.设设计计控控制制器器的的各各部部分分电电路路,画画出出彩彩灯灯控控制制器器的的逻逻辑辑图。图。五、总结报告要求五、总结报告要求.阐明整体设计思想。阐明整体设计思想。.画出时序图和功能表。画出时序图和功能表。.修正时序表中的设计值为实际值。修正时序表中的设计值为实际值。.总结故障及其产生的原因和排除方法总结故障及其产生的原因和排除方法。.提出改进意见,写出心得体会。提出改进意见,写出心得体会。实验系统布局图实验系统布局图8位七段数码管显示模块位七段数码管显示模块sel2sel1sel01616点阵模块点阵模块按键接口模块按键接口模块数字秒表内部结构图数字秒表内部结构图数字钟各模块连接示意图数字钟各模块连接示意图用用1616点阵显示一个汉字点阵显示一个汉字
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 大学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!