EDA课程设计报告乐曲发生器

上传人:痛*** 文档编号:136162043 上传时间:2022-08-16 格式:DOC 页数:22 大小:655KB
返回 下载 相关 举报
EDA课程设计报告乐曲发生器_第1页
第1页 / 共22页
EDA课程设计报告乐曲发生器_第2页
第2页 / 共22页
EDA课程设计报告乐曲发生器_第3页
第3页 / 共22页
点击查看更多>>
资源描述
电子课程设计 音乐发生器设计 学 院:电子信息工程学院 专业班级:通信工程101501 姓 名: 孔翔 学 号: 201015030109 指导教师: 李东红 2012年12月音乐发生器设计 目 录一:设计任务与要求3二:总体框图3三:选择器件4 四:功能模块5 1:Songer模块6 1)music模块(程序)9 2)NoteTabs模块(程序 仿真图)6 3)ToneTaba模块(程序 仿真图)7 4)Speakera模块(程序 仿真图)9 2:div模块(程序 仿真图)12 3:七段译码器模块(程序)14五:总体设计电路图1 1.顶层设计VHDL描述songer模块162.顶层文件的仿真结果 3.管脚分配图4.EDA硬件验证六:心得体会19七:参考资料21前 言乐曲演奏广泛用于自动答录装置、手机铃声、集团电话、及智能仪器仪表设备。实现方法有许多种,在众多的实现方法中,以纯硬件完成乐曲演奏,随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使这种方案的应用越来越多。如今的数字逻辑设计者面临日益缩短的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。使用现今的EDA软件工具来应付这些问题,并不是一件简单的事情。FPGA预装了很多已构造好的参数化库单元LPM器件。通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。本文介绍在EDA开发平台上利用VHDL语言设计数控分频器电路,用数控分频的原理设计音乐硬件演奏电路,并定制LPM-ROM存储音乐数据,以挥着翅膀的女孩儿菊花台乐曲为例,将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。只要修改LPM-ROM所存储的音乐数据,将其换成其他乐曲的音乐数据,再重新定制LPM-ROM,连接到程序中就可以实现其它乐曲的演奏。 一:设计任务与要求(1)利用数控分频器设计硬件乐曲演奏电路。(2)利用给定的音符数据定制ROM“music”。 (3)设计乘法器逻辑框图,并在QuartusII上完成全部设计。(4)与演奏发音相对应的简谱码输出在数码管上显示。设计方案 方案一:利用纯硬件电路完成乐曲演奏。方案二:利用FPGA来实现乐曲演奏电路。分成各个模块来完成演奏乐曲的任务。方案对比与利用EDA技术来实现音乐演奏相比较,纯硬件电路要复杂的多,而且不能在中途查看是否正确。不如选择EDA 来实现音乐演奏。二:总体框图TONETABASNOTETABS分频器 译码器SPEAKERA扬声器 图1-电路原理框图 三:选择器件1.EP1C12Q240C8芯片及相应的连接设备 2.计算机 3.EDA技术试验箱四:功能模块 该主系统由三个模块:Songer.vhd、div.vhd、SEG7.Vhd(7段译码器)组成。首先定制Songer.Vhd,此模块包括3个小模块,分别是NoteTabs模块,ToneTaba模块,Speakera模块,此外,我们还需建立一个名为“music”的LPM_ROM模块与NoteTabs模块连接。根据给出的乘法器逻辑原理图及其模块的VHDL描述在QUARTUS2上完成设计。完成编译,综合,仿真,管脚分配,编程下载。1对于模块NoteTabs的功能描述:该模块的功能就是定义音符数据ROM“music”随着该模块中的计数器控制时钟频率速率作加法计数时,即地址值递增时,音符数据ROM中的音符数据。将从ROM中通过ToneIndex4.0端口输向ToneTaba模块,演奏挥着翅膀的女孩儿菊花台。在该模块中设置了一个8位二进制计数器(计数最大值为197),作为音符数据ROM的地址发生器。这个计数器的计数频率为4Hz,即每一计数值的停留时间为0.25秒,恰为当全音符设为1秒时,四四拍的4分音符持续时间。2对于模块ToneTaba,是乐曲简谱码对应的分频预置数查找表电路,其中设置了乐曲的全部音符所对应的分频置数,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs的CLK的输入频率决定,这些值由对应于ToneTaba的4位输入值Index4.0确定,最多有16种可选值。输向ToneTaba中Index4.0的值ToneIndex4.0的输出值与持续的时间由模块NoteTabs决定。3模块Speakera是一个数控分频器,音符的频率可由此模块获得。由CLK端输入一具有较高频率的信号,通过Speakera分频后由SPKOUT输出。由于直接从数控分频器中出来的输出信号是脉宽极窄的脉冲式信号。为了利用驱动扬声器,需加一个D触发器以均衡其占空比,频率将是原来的1/2。Speakera对CLK输入信号的分频比由预置数Tone决定。SPKOUT的输出频率将决定每一音符的音调。4. SEG7 模块是一个七段译码器,作用是在硬件上显示音频的高低,用0到7分别对应空节拍、do、ri、mi、fa、suo、la、xi,高音时,LED亮,数码管显示对应数字。1对于模块songer。挥着翅膀的女孩儿菊花台乐谱如下:1) LPM_ROM模块定义音符数据ROM“music”。 Music模块存放乐曲中的音符数据,它是利用LPM-ROM来实现的,将乐谱中相应的音符放在一个连续的地址上。它首先是编写音符数据文件,将乐谱中相应的音符存放在一个连续的地址上。因为1拍的时间定为1秒,提供的是4Hz的时钟频率(即1/4拍的整数倍),则需将这个音符存储在相应次数的连续几个地址上。然后对音符数据进行ROM定制。随着NoteTabs中的计数器按时钟频率速度作加法计数时,音符数据将从ROM中通过ToneIndex端口输向ToneTaba模块。2)NoteTabs模块的程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity NoteTabs is port (clk : in std_logic;ToneIndex : out std_logic_vector(4 downto 0);end;architecture one of NoteTabs is component MUSICPORT(address: IN STD_LOGIC_VECTOR (7 DOWNTO 0);clock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (4 DOWNTO 0);END component;signal Counter : std_logic_vector(7 downto 0);beginCNT8 : process(clk,Counter)beginif Counter=138 then Counter=00000000;elsif (clkevent and clk=1) then CounterCounter,q=ToneIndex,clock=clk);end;其仿真波形如下:3) 对于模块ToneTabaToneTaba是乐曲简谱码对应的分频预置数查表电路。 以下为ToneTaba的模块程序:library ieee;use ieee.std_logic_1164.all;entity ToneTaba isport( Index : in std_logic_vector(4 downto 0); CODE : out std_logic_vector(3 downto 0); HIGH : out std_logic; Tone : out std_logic_vector(10 downto 0);end;architecture one of ToneTaba is beginSearch: process(Index)begincase Index is when 00000 = Tone=11111111111;CODE=0000;HIGH Tone=01100000101;CODE=0001;HIGH Tone=01110010000;CODE=0010;HIGH Tone=10000001100;CODE=0011;HIGH Tone=10010101101;CODE=0101;HIGH Tone=10100001010;CODE=0110;HIGH Tone=10101011100;CODE=0111;HIGH Tone=10110000010;CODE=0001;HIGH Tone=10111001000;CODE=0010;HIGH Tone=11000000110;CODE=0011;HIGH Tone=11000101011;CODE=0100;HIGH Tone=11001010110;CODE=0101;HIGH Tone=11010000100;CODE=0110;HIGH Tone=11010110101;CODE=0111;HIGH Tone=11011000000;CODE=0001;HIGH Tone=11011101010;CODE=0010;HIGH Tone=11100000111;CODE=0011;HIGHNULL;end case;end process;end;该模块的波形图为:4) 对于Speakera模块音符的频率是由该模块获得音符频率的获得:多个不同频率的信号可通过对某个基准频率进行分频器获得。该程序选取750KHz的基准频率。由于现有的高频时钟脉冲信号的频率为12MHz,需对其进行16分频,才能获得750KHz的基准频率。对基准频率分频后的输出信号是一些脉宽极窄的尖脉冲信号。为提高输出信号的驱动能力,以使扬声器有足够的功率发音,需要再通过一个分频器(D触发器)将原来的分频器的输出脉冲均衡为对称方波,这时的频率将是原来的1/2,即为375KHz。各个音符的频率及其对应的分频系数(基准频率375KHz)音符名频 率(Hz)分频系数计数初值休止符37500002047低音1294.3491274773低音2330.3961135912低音3370.9210111036低音4386.5989701077低音5394.7379501197低音6495.3767571290低音7555.566751372中音1588.6976371410中音2638.845871480中音3742.5745051542中音4796.1784681579中音5882.3534251622中音6989.4463791668中音71136.3633301717高音11175.5493191728高音21353.7902771770高音31512.0972481799高音41609.4422331814高音51802.8842081839高音62027.0271851862高音72272.7271651882Speakera的模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity speakera isport(clk:in std_logic;tone:in std_logic_vector(10 downto 0);spks:out std_logic);end;architecture one of speakera issignal preclk,fullspks:std_logic;begindivideclk:process(clk)variable count4:std_logic_vector(3 downto 0);beginpreclk11 then preclk=1;count4:=0000;elsif clkevent and clk=1 then count4:=count4+1;end if;end process;genspks:process(preclk,tone)variable count11:std_logic_vector(10 downto 0);beginif preclkevent and preclk=1 then if count11=11111111111then count11:=tone; fullspks=1;else count11:=count11+1;fullspks=0;end if;end if;end process;delayspks:process(fullspks)variable count2 :std_logic;beginif fullspksevent and fullspks =1 then count2:=not count2;if count2=1 then spks=1;else spks=1 thencnt:=0;tmp:=not tmp;elsecnt:=cnt+1;end if;end if;CLK12MHz=3124999 thencnt:=0;tmp:=not tmp;elsecnt:=cnt+1;end if;end if;CLK8Hz=tmp;end process nbnb;end one;此程序的仿真图为:(由于CLK8Hz过于小,所以在仿真图中看不到)3.七段数码管模块此部分程序应用我们前面用过的SEG7模块即可实现,程序为:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY SEG7 IS PORT(num:IN std_logic_vector(3 downto 0); A:OUT std_logic; B:OUT std_logic; C:OUT std_logic; D:OUT std_logic; E:OUT std_logic; F:OUT std_logic; G:OUT std_logic; DP:OUT std_logic );END SEG7;ARCHITECTURE fun OF SEG7 ISsignal led:std_logic_vector(6 downto 0);BEGIN A=led(6); B=led(5); C=led(4); D=led(3); E=led(2); F=led(1); G=led(0); DP=0; ledCLK8HZ,ToneIndex=ToneIndex);u2: ToneTaba port map (Index=ToneIndex,Tone=Tone,CODE=CODE1,HIGH=HIGH1);u3: Speakera port map (clk=CLK12MHZ,Tone=Tone,SpkS=SPKOUT);end;2.顶层设计的仿真结果如下:将Songer模块设为当前文件,进行编译,编译成功.顶层文件管脚分配图如下:2 连线及下载 在硬件电路上实现此程序 CLK接50MHz晶振输入,高音HIGH接IO9,然后再与LED连接,SPKOUT接IO10,然后与扬声器连接。 下载顶层文件前,此前必须对ROM进行全局编译六:心得体会 课程设计刚开始的时候,对EDA课程设计很陌生,也感到很茫然,也非常没有信心。通过去图书馆查找资料,查阅了,一些相关技术书籍,书中通过大量的图示形象的讲解了FPGA技术。在整整两个星期的日子里,可以说是非常难熬的,但是可以学到很多东西,同时不仅可以巩固以前学习过的知识,而且学到了很多课本上没有的东西。通过这次设计,进一步加深了对EDA的了解,让我对它产生了浓厚的兴趣。特别是当每一个子模块编写、综合、仿真成功的时候,心里特别开心。但是在编写顶层文件时遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是会出错。在细心的检查下,终于找出了错误,排除错误后,程序编译就通过了。在这之前,产生音乐文件,关联文件,产生器件,连接电路,仿真每一步都不允许有错误。哪怕是程序里的一个字母错了,都会导致程序错误。这让我养成了严谨的实验作风。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。总的来说,这次设计的音乐发生器还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于迎刃而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!七:参考资料【1】潘松,黄继业。EDA技术与 VHDL(第三版).北京:清华大学 出版社,2009. 薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁羂膈蒅蚇羁芀芈薃羀羀蒃葿肀肂芆螈聿膄蒂蚄肈芇芄薀肇肆蒀薆肆腿莃袅肅芁薈螁肄莃莁蚇肄肃薇薃蚀膅荿葿蝿芈薅螇螈羇莈蚃螇膀薃虿螇节蒆薅螆莄艿袄螅肄蒄螀螄膆芇蚆螃芈蒃薂袂羈芅蒈袂肀蒁螆袁芃芄螂袀莅蕿蚈衿肅莂薄袈膇薇蒀袇艿莀蝿羆罿薆蚅羆肁荿薁羅膄薄蒇羄莆莇袅羃肆芀螁
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!