基于VHDL的乒乓球设计.doc

上传人:xin****828 文档编号:6682965 上传时间:2020-03-02 格式:DOC 页数:19 大小:679.50KB
返回 下载 相关 举报
基于VHDL的乒乓球设计.doc_第1页
第1页 / 共19页
基于VHDL的乒乓球设计.doc_第2页
第2页 / 共19页
基于VHDL的乒乓球设计.doc_第3页
第3页 / 共19页
点击查看更多>>
资源描述
课程报告设计课题: 乒乓球游戏的FPGA实现 姓 名: 黄琳 吴胜辉 许晓明 专 业: 电子信息工程 学 号:1115108018 1115106047 1115106052 日 期 2013 年11月25 日2013 年 12 月1 日 指导教师: 傅 文 渊 华侨大学信息科学与工程学院电子工程系目录1 项目名称、内容与要求 02页1.1 项目名称02页1.2 设计内容02页 1.3 具体要求02页2 系统整体架构 02页 2.1 设计原理02页2.2 设计思路03页3 系统设计03页 3.1乒乓球游戏机实体的设计03页 3.2状态机编程实现 04页 3.3构造体的设计07页 3.4发挥部分 08页3.5最后的整体模块和管脚锁定10页 3.6编译和波形仿真10页 3.7分工说明15页4 结束语15页 参考书目16页一、 项目名称、内容与要求1.1项目名称 乒乓球游戏的FPGA实现1.2设计内容 设计一个由甲乙双方参赛,二人乒乓球游戏机。 用8个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左。当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中,则球向相反方向运动,则对方得一分,同时蜂鸣器自动响起。设置自动记分电路,甲乙双方各用一位数码管进行记分显示,每计满11分为1局。1.3具体要求(1)使用乒乓球游戏机的双方在不同位置发球或击球。(2)乒乓球的位置和移动方向由灯亮和依次亮的方向决定。使用者根据球的位置发出相应的动作。(3)比赛用11分为一局来进行,双方设置各自的记分牌,任意一方先记满11分就获胜此局。当记分牌清零后,开始新的一局比赛。 (4)(发挥部分)完善以上设计,使之更加符合乒乓球运动的各项规则。二、系统整体架构2.1设计原理两人乒乓球游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动。在游戏机的两侧各设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。当球过网后按照设计者规定的球位乙方就可以击球。若乙方提前击球或者未击到球,则甲方得分。然后重新发球进行比赛,知道一方记分达到11分为止,记分清零,重新开始新一局比赛。2.2设计思路 根据系统设计的要求,乒乓球比赛游戏机的电路原理框图如下:三、系统设计3.1乒乓球游戏机实体的设计 设计该乒乓球游戏机的输入/输出端口。首先考虑输入端口,一般都应该设置一个异步置位端口reset,用于在系统不正常时回到初始状态;一个发球输入端serve,逻辑1代表开始发球的指令;两个击球输入端hit1和hit2,逻辑1分别表示甲击球和乙击球;一个开始游戏按钮startbutton,处于逻辑1表示可以游戏;还得有一个时钟输入端口clk。 其次考虑输出端口,芯片应该有8个输出端口来控制8个发光二极管,输出逻辑1即输出一个高电平,可以使发光二极管点亮;另外,要直观地表示双方的得分,就得用到数码管,每方用到1个,可以表示011的数字。另外,在发挥的部分,我们还设计了一个模块来显示双方的局数以及最后的赢家。实体的设计如下:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -引用必要的库函数和包集合 entity compete is port(reset:in std_logic; clk_1:in std_logic; startbutton:in std_logic; -开始游戏输入端口 serve:in std_logic_vector(1 downto 0); -发球输入端口 hit1,hit2:in std_logic; -甲和乙的击球输入端口 light:out std_logic_vector(1 to 8); -控制8个发光二极管的输出端口 speaker : out std_logic ; counta,countb:out std_logic_vector(3 downto 0); -2个用于控制4个7段译码器的输出端口 end compete;3.2状态机编程实现状态机设置了7个状态,分别是等待发球状态(waitserve)、第一盏灯亮状态(light1on)、第八盏灯亮状态(light8on)、球向乙移动状态(ballmoveto2)、球向甲移动状态(ballmoveto1)、允许甲击球状态(allow1hit)和允许乙击球状态(allow2hit)。状态waitserve,light1on,ballmoveto2,allow2hit,light8on,ballmoveto1和allow1hit代表的具体数值依次是0到6.在波形模拟图中是用数值来表示状态的。乒乓球游戏机中有四个计数器count1,count2,count3,count4分别记忆甲的得分和乙的得分,甲方赢的局数和乙方赢的局数;一个i信号,用它的数值来控制状态机外8个发光二极管的亮和暗,比如当i=1时表示第一个发光二极管亮,用发光二级管的轮流发光表示球的移动轨迹。输入状态机的信号有游戏开关startbutton信号,它是1位二进制信号,数值为1表示可以进入游戏;serve信号,是一个2位二进制向量,“01”表示甲发球;两个二进制信号hit1和hit2分别表示甲乙是否击球,若数值为1,表示击球,不为1表示不击球。以下是状态机进程代码。p1: process(clk_1) -状态机进程 -clk_1作为敏感信号触发进程begin -进程开始 if reset=1 then -异步置位 i=0;count1=0000;count2=0000;count3=0000;count41010)and(count2(count1-1) then i=0;count1=0000;count2=0000;count31010)and(count1(count2-1) then i=0;count1=0000;count2=0000;count4=count4+1; elsif startbutton=0 then i=0;count1=0000;count2=0000;count3=0000;count4 -进程处于等待发球状态 case serve is when 01= i=1;state=light1on;c i=8;state=light8on;ci=0;c i=0;c -进程处于第一盏灯亮状态 i=2; if hit2=1 then i=0; count1=count1+1;c=1;state=waitserve; else c=0;state -进程处于第八盏灯亮状态 i=7; if hit1=1 then i=0; count2=count2+1;c=1;state=waitserve; else c=0;state -进程处于球向乙移动状态 if hit1=1 then i=0; count2=count2+1;c=1;state=waitserve; elsif i=2 then i=1;c=0; state=allow1hit; else i -进程处于球向乙移动状态 if hit2=1then i=0; count1=count1+1;c=1;state=waitserve; elsif i=7 then i=8;c=0; state=allow2hit; else i -进程处于允许甲击球状态 if hit1=1 then i=2;c=0; state=ballmoveto2; else count2=count2+1;i=0;c=1; state -进程处于允许乙击球状态 if hit2=1then i=7;c=0;state=ballmoveto1; else count1=count1+1;i=0;c=1; state=waitserve; end if; end case; end if; end if; end process p1; counta=count1;countb light light light light light light light light light1001)and (countb1001) then if counta=(countb+2) then count3=count3+1; elsif countb=(counta+2) then count4=count4+1; end if; end if;当然为了不影响11:9时的比赛判定之前的代码也是修改为:if reset=1 then count3=0000;count41010)and(countb(counta-1) then count31010)and(counta(countb-1) then count4=count4+1; end if;最后,这是这个模块的全体代码:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -引用必要的库函数和包集合entity sum is port( reset:in std_logic; clk_1:in std_logic; counta:in std_logic_vector(3 downto 0); countb:in std_logic_vector(3 downto 0); countc,countd,winer:out std_logic_vector(3 downto 0); -2个用于控制4个7段译码器的输出端口 end sum;architecture two of sum is signal count3,count4:std_logic_vector(3 downto 0):=0000; signal win:std_logic_vector(3 downto 0):=0000;begin process(clk_1) begin if clk_1event and clk_1=1 then if reset=1 then count3=0000;count41010)and(countb(counta-1) then count31010)and(counta(countb-1) then count41001)and (countb1001) then if counta=(countb+2) then count3=count3+1; elsif countb=(counta+2) then count4=count4+1; end if; end if;end if;countc=count3;countd=count4;end process; process(clk_1)beginif clk_1event and clk_1=1 then if count3=0011 then win=1010; elsif count4=0011 then win=1011; else win=0000; end if;end if;winer=win;end process;end two; 其中,winer是胜负输出口,直接输出a,b可以更加直观的看出是谁的胜利;countc为甲方的局数,countd为乙方的局数。以下为最后生成的模块:3.5最后的整体模块和管脚锁定 原理图:管脚锁定:3.6编译和波形仿真 (1)下图所示代表乙发球,由light端口输出的高电平会驱动芯片以外的发光二极管使之点亮,这样就可以通过发光二极管模拟乒乓球的运动轨迹。可以看到,在乙方发球后,甲方在球网提前击球,则乙方加一分,即countb=1。以下为波形图形: 以light为球轨迹的信号,以下为该情况下球的运行轨迹: (2)下图是在甲方发球以后,甲子正确时刻击球的波形仿真图。乙在允许甲击球状态的时候击球了,在图上hit2在此时刻出现高电平,看到state转移了状态2(ballmoveto2,球向乙移动状态)当到了状态3(allow2hit,允许乙击球状态)乙没有击球,所以乙得分了,countb由0变到1,数码管也显示出相应的变化: 以下为球在该情况下的运动轨迹: (3)下图所示代表乙发球,由light端口输出的高电平会驱动芯片以外的发光二极管使之点亮,这样就可以通过发光二极管模拟乒乓球的运动轨迹。可以看到,在甲该击球的时候没有击球,也就是hit1在state状态6(allow1hit,允许甲击球状态)的时候没有高电平1输入,则算乙得分,countb由0变到1,之后state回到状态0(waitserve,等待发球状态)。从最后一行state值的变化,可以清楚地分析状态转移: 以下为该情况下的球运行轨迹: (4)以下为我们设计的创新部分波形仿真: 当甲方与乙方的分数比为12:10时,甲方获得该局的胜利,即countc=1: 当甲方与乙方的分数比为10:10时,不符合比赛规则,则没人比赛局数上加一: 当甲方与乙方的分数为11:10,11:11时的波形仿真:最后,我们做出了对于一个比赛的模拟,其中包括提前击球甲方获得一局比赛的胜利,一方获得一局比赛的胜利,和我们设定的比赛分数为10:10时的情况,以及最后由一方获得三局比赛输出最终的胜利者。该模拟图形在下方:3.7分工说明 本次的实验是由我们三人共同完成,但在合作的过程中我们也分工明确。吴胜辉同学和黄琳同学主要负责对核心模块进行生成、编译和仿真,许晓明同学主要负责对发挥部分的考虑。结束语在这个设计中,初步体现了状态机的中心控制作用。通过状态机进程传出的信号,驱动了发光二极管以及七段译码器等外围设备。状态机进程传出的i信号,控制了发光二极管的状态,状态机进程传出的count1和count2信号,控制了七段译码器的显示。如果要用实际电路来实现乒乓球游戏机,就还要将设计下载到芯片中去,并且加上外围电路,这些外围电路包括按键等,即便如此,上面的设计还是不够的,还存在两个问题,一个是时钟频率问题,一个是按键问题,但由于时间及能力有限,就没做太多的深入探讨,但在以后的学习生活中我们仍会对此类问题继续加以研究分析。参考文献:1 赵建领. 51系列单片机开发宝典M. 北京: 电子工业出版社, 2007.2 中国电子网. http:/www.21ic.com.3 电子电路图网. http:/www.cndzz.com.4 百度:http:/www.baidu.com
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 其他分类 > 大学论文


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!