IC工艺技术2光刻ppt课件

上传人:钟*** 文档编号:1542147 上传时间:2019-10-24 格式:PPT 页数:70 大小:4.78MB
返回 下载 相关 举报
IC工艺技术2光刻ppt课件_第1页
第1页 / 共70页
IC工艺技术2光刻ppt课件_第2页
第2页 / 共70页
IC工艺技术2光刻ppt课件_第3页
第3页 / 共70页
点击查看更多>>
资源描述
IC工艺技术系列讲座 第二讲,PHOTOLITHOGRAPHY 光刻,1,讲座提要,1. General 2. Facility (动力环境) 3. Mask (掩膜版) 4. Process step highlight (光刻工艺概述) 5. BCD 正胶工艺 6. History and 未来的光刻工艺,2,1. General,MASKING Process (光刻工艺) Photolithography (光学光刻) -Transfer a temporary pattern (resist) Defect control Critical dimension control Alignment accuracy Cross section profile Etch (腐蚀) -Transfer a permanent pattern (Oxide, Nitride, Metal),3,2.0 Facility requirement,Temperature (温度) 70 oF Humidity (湿度) 45% Positive pressure (正压) 0.02in/H2O Particle control (微粒) Class 100 Vibration (震动) Yellow light environment (黄光区) DI water (去离子水) 17mhom Compress air and Nitrogen (加压空气,氮气) In house vacuum(真空管道),4,3.0 Mask (掩膜版),Design PG tape Mask making Plate - quartz, LE glass, Soda line glass Coating - Chrome, Ion oxide, Emulsion Equipment - E-beam, Pattern generator Mask storage -Anti static Box,5,Pellicle,6,Pellicle protection,7,4.0 光刻工艺概述,Prebake and HMDS (前烘) Resist coating (涂胶) EBR (去胶边), soft bake, 3. Exposure (曝光) Alignment (校正) 4. Develop (显影) Post e-bake, Hard bake, backside rinse 5. Develop inspection (显检),8,4.1 Prebake and HMDS treatment,Purpose of Pre-bake and HMDS treatment is to improve the resist adhesion on oxide wafer. HMDS is adhesion promoter especially designed for positive resist. HMDS (Hexamethyldisilane) can be applied on the wafers by 1. Vapor in a bucket 2. vapor in a vacuum box 3. Directly dispense on wafer 4. YES system - in a hot vacuum system 5. Vapor in a hot plate (with exhaust) Too much HMDS will cause poor spin, vice versa will cause resist lifting,9,4.2 Resist Coating (涂胶),Resist coating specification (指标) Thickness(厚度)0.7u 2.0u (3.0以上for Pad layer) Uniformity(均匀度)+ 50A +200A Size of EBR (去胶边尺寸) Particle(颗粒)20 per wafer Backside contamination(背后污染) 三个主要因数影响涂胶的结果 Resist Product (产品) Viscosity (粘度) Spinner Dispense method (涂胶方法) Spinner speed (RPM) (转速) Exhaust (排气) Soft bake temperature (烘温) Facility Temperature (室温) Humility (湿度),10,4.2.1 Coater (涂胶机),Equipment module and special feature Pre-bake and HMDS - Hot/Cold plate Resist dispense - Resist pump RPM accuracy - Motor EBR - Top/bottom Hot plate - soft bake temperature accuracy Exhaust Waste collection Temperature/Humidity control hood Transfer system - Particle and reliability Process step and process program - Flexible,11,SVG 8800,升降机,涂胶,HMDS,热板,冷板,升降机,升降机,升降机,涂胶,热板,热板,升降机,升降机,升降机,升降机,涂胶,热板,冷板,HMDS,冷板,冷板,冷板,涂胶,热板,热板,升降机,升降机,显影,热板,热板,热板,冷板,4.2.2 Coater (涂胶机)combination,12,4.2.3 Coater (涂胶机),Resist dispense methods Static Dynamic Radial Reverse radial Resist pump (Volume control - 2cc/wafer and dripping) Barrel pump -Tritek Diaphragm pump - Millipore N2 pressure control pump - IDL Step motor control pump - Cybot size of dispense head,13,4.2.4 Coater (涂胶机),rpm (转速) and acceleration (加速) Maximum speed - Up to 10000 rpm Stability - day to day Acceleration - controllable number of steps Reliability - time to replacement EBR (Edge bead removal)(清边) Method - Top EBR or Bottom EBR or Top and bottom EBR Problem - Dripping Chemical - Acetone, EGMEA, PGMEA, ETHLY-LACTATE,14,Resist Type,Negative resist Positive resist G-line i line reverse image TAC - top anti-reflective coating BARLI - bottom anti-reflective coating Chemical amplification resist X ray resist,15,4.3 .1 Exposure (曝光),Transfer a pattern from the mask (reticle) to resist Goal 1. Critical Dimension control (CD)条宽 2. Alignment 校准- Mis-alignment, run in/out 3. Pattern distortion 图样变形- Astigmatism 4. Cross section profile 侧面形貌- side wall angle 5. Defect free无缺陷 Equipment/mask/resist selection 1. Resolution 分辨率- Expose character, Light source (wavelength), N/A, 2. Auto-alignment skill 自动校准技术- Light field, dark field, laser 3. Mask掩膜版- e-beam master, sub-master, spot size, quartz plate, defect density, CD requirement 4. Resist selection 胶选择,16,4.3.2 Exposure (曝光),Aligner Technology 1. Contact print (接触) Soft contact, hard contact, proximity 2. Scanner (扫描) 3. Stepper (重复) 1X, 2X , 4X, 5X, 10X 4. Step Scan (重复扫描) 4X - reticle move, wafer move, reticle/wafer move 5. X ray (X光) 1:1 6. E-beam (电子束)- Direct write,17,4.3.3 Exposure (曝光),Contact print (接触) 1. Most of use for negative resist process - for 5u process and can be push to 3u. 2. Positive resist can print smaller than 3u, and deepUV can push to 1u, but very high defect 3. Equipment: - Canon PLA 501 - Cobilt - Kasper - K&S,18,Contact print -Canon 501,19,4.3.4 Exposure (曝光),Scanner (扫描) 1. Most of use for G line Positive resist process - for 3u process and can be push to 2u. 2. Negative resist can print smaller than 4u 3. Equipment: - Canon MPA 500, 600 - Perkin Elmer 100, 200, 300, 600, 700, 900,20,PE 240 Scanner,21,Canon 600 Scanner,22,4.3.5 Exposure (曝光),Stepper (重复) 1. G line positive resist - for 0.8u process 2. i line positive resist - 0.5u process 3. i line resist plus phase-shift mask - can be pushed to 0.35 4. deepUV resist process - 0.35u and below 5. Equipment: - Ultratech - Canon - Nikon - ASML,23,4.3.6 Exposure (曝光) 6,ASML Stepper list Model Wavelength Resolution ASML 2500 g 0.8 ASML 5000 ASML 5500 20,22,25,60,60B,80,80B i 0.55 ASML 5500 100,100C,100D,150 i 0.45 ASML 5000 200,200B,250,250B UV 0.35 ASML 5500 300,300B,C,D,TFH UV 0.25 ASML 5500-900 Step-Scan UV,24,4.4.1 Develop (显影),Develop process 1. Post expose bake 2. Resist Develop 3. DI water rinse 4. Hard Bake Develop equipment 1. Batch develop 2. Track develop Develop chemical 1. KOH 2. Metal free (TMAH) - Tetramethylamoniahydroxide 3. Wetting agent - with/without 4. Concentration - 2.38%TMAH Track develop method 1. Spray 2. Steam 3. Signal-Paddle 4. Double-Paddle,25,4.4.2 Develop (显影),Develop Track 1. Temperature control water jacket for Develop line 2. Develop pump/develop pressure canister 3. Exhaust 4. Hot plate temperature control 5. Pre-wet - process program,26,4.4.3 Develop (显影),CD control in developing 1. Post bake process 2. Develop Time 3. Concentration of developer chemical (Higher fast) 4. Developer temperature (lower faster 1o C/0.1u) 5. Develop recipe - pre-wet, paddle, rotation 6. Age of the develop chemical 7. Rinse - DI water pressure 8. Hard bake temperature,27,4.5.1 Develop Inspection,Tool for inspection 1. Microscope Manually loading Automatic loading 2. UV lamp Manually loading Automatic loading 3. CD measurement equipment Manually measuring system - Vicker, Automatic measuring system - Nanoline CD SEM,28,4.5.2 Develop Inspection,Inspection items 1. Layer name 2. Alignment 3. Run in/out 4. Pattern distortion 5. Pattern integrity 6. Defects lifting, particle, discoloration, scumming, bridging, excess resist, scratch 7. CD (critical dimension),29,Nanoline - for CD measurement,30,Hitachi 8860 - CD SEM,31,Leitz Microscope inspect station,32,Autoload UV inspection system,33,5.0 BCD 正胶工艺,Equipment SSI, SVG8800, SVG 90 Process step pre-bake/HMDS/cold plate spin (5000rpm) -dynamic dispense -top (bottom) side EBR(2mm) soft bake (100oC)/cold/palte Resist/spec Shipley 6112 (1.2u) 1818 (1.8u 1st metal) 6818 (2.4u 2nd metal) 6118 (2.9u Pad) 6124 (3.6u-4.5u ST) Everlight 533(1.2) Uniformity -+ 300A,Resist coating,升降机,冷板,HMDS,涂胶,热板,冷板,升降机,34,SVG 90,35,SVG 8800,36,5.1.1 Positive Resist (正胶),Component (成分) Resin (树脂) Diazonaphthoquinone(DNQ)/novolak Photo-sensitizer (感光剂) Solvent (溶剂) Dye (染料) Manufacturing (制造商) Kodak Hunt Ash chemical (USA) TOK (Japan) JSR (Japan) Shipley (USA) AZ (USA, Germany) Sumitomo (Japan) Everlight (Taiwan),37,5.1.2 Positive Resist (正胶),Product Name and feature (产品称与特性) 以everlight (永光)正胶为例 Product Series EPG 510 Series Expose wavelength G-Line (435nm) Thickness Name 2000rpm 5000rpm Viscosity (粘度) EPG 510 - 12 cp 1.25u 0.80u EPG 512 - 21.5 cp 2.00u 1.25u EPG 516 - 50 cp 3.25u 2.00u EPG 518 - 105 cp 4.50u 2.75u EPG 519 - 460 cp 9.00u 5.5u Resolution (分辨率) 0.8u (0.55u - the smallest) Depth of Focus (聚焦深度)+ 1.4u (1.0u line/space) Sensitivity (感光度) Eth = 60 mj/cm2 Eop = 90 mj/cm2,38,5.1.4 Positive Resist (正胶),Select a positive resist 1. Resolution (分辨率) 2. Resist thickness - Spin curve (厚度) 3. Photo speed (曝光速度) 4. Expose latitude (曝光宽容度) 5. Adhesion (粘附性) 6. Reflective notch (反射缺口) 6. Metallic content (金属含量) 7. Thermal stability (热稳定性) 8. Plasma resistance (抗腐蚀能力) 9. How easy to be removed (清除能力) 10. Price (價格),39,5.2 Expose,Equipment Ultratech stepper 1100 (6”) Ultratech stepper 1500 (6”) Canon 600 (6”) Perkin Elmer 240 (4”),40,Positive Resist reaction during expose,41,Positive Resist reaction during expose,42,5.2.1 Ultratech Stepper,Ultratech stepper G-line N/A - 0.24 and 0.31 1:1 print ratio 3 X 5 inch reticle - 3, 4, 5, 7 field 4u depth of focus Blind step can be push to 5u (no spec) Center of array + 50u Dark field alignment Site by Site alignment Alignment target *oat - 4mm X 4mm *K/T - 200u X 200u,43,Ultratech Stepper 1100,44,Ultratech Stepper 1500/1700,45,5.2.2 Ultratch stepper specification,46,UTS-Reticle and Job file,Guide,Fiducials,47,UTS-primary lens,48,UTS Alignment Optic,49,Ulratech stepper site by site alignment,50,UT alignment procedure,Load job file into computer Load reticle Start iducials alignment - Guide, rotation(1500) OAT alignment OAT size = 4mmX4mm Fast and slow scan 1000u Side by side alignment Key and target size 200uX200u shot scan 20u long scan 100u (80u) Auto-focus Goble or local Failure alignment Skip Expose Zmode,51,5.3 Perkin Elmer aligner,Micalign PE 100 Micalign PE 200, 220, 240 Micalign PE 300, 340, 340HT Micalign PE 500 Micalign PE 600 Micalign PE 700 Micalign PE 900 Micscan 100 Micscan 200 Micscan 300 Micscan 400,52,PE 240 Specification,53,PE 240,54,PE 240,PM Center of curvature Parallelism Light intensity Focus Distortion Mask/wafer centering View optic HPC rebuild Cooling air flow rate Vibration from HPC,Facility Vibration from environment Temperature control hood Process Reference wafer Aperture selection Resist build up on XYO pins Roof mirror cleaning Mask heat up during expose,55,PE - Focus wedge mask,56,PE - distortion,57,PE - Projection optic,58,PE Mercury lamp,59,PE - Adjustable slit,60,PE alignment procedure,Set scan Load mask Load wafer Switch to mask Use microscope and carriage movement to find the alignment mark on mask (Test die) Move mask only to align the wafer Switch to wafer Move wafer align to mask,61,5.4.1 Resist develop,Equipment SSI, SVG8800, SVG 90 Process step pose-e bake/cold plate develop - double paddle - DI water rinse - back N2/rinse hard bake (110-130oC)/cold/palte Developer TMAH 2.35%,升降机,冷板,热板,冷板,升降机,热板,显影,62,SVG 8800,63,SVG 90,64,5.4.2 Resist develop,Equipment Develop sink Equipment set up Temperature N2 blanket Filter size Filter change Developer TMAH 2.38% Develop change Process step batch develop - immerse (1 & 15”) QDR DI water rinse (8 cycles) hard bake (110-130oC)/cold/palte,65,6. History and 未来的光刻工艺,Will imprint technology replace photolithography? In 1798, image was transferred by stone plate 1940, Bell Lab used resist developed by Eastman Kodak 1960, San Francisco bay area becomes the silicon valley - AT &T, Raytheon, Fairchild, Negative resist contact print process wildly was used. End of 1970-early of 1980, positive resist Projection print (Perkin Elmer Micalign) started to be used in production. Bay area became cloudy - National, Intel and AMD. Outside bay area had Motolora, TI, IBM. From 1970 to early 2000, the technology of semiconductor is developed very fast. The smallest feature size from 10u reduced to 0.09u. 0.25u and 0.35u products were running mass production every where -USA, Europe, Japan, Taiwan, Korea i-line,and deepUV - 5X stepper and step-scan (4X) aligner became the major tools. Now, 0.09u technology become mature. 0.065u, 0.045u and 0.035u technology are being developed. Immersion lithography and imprint technology will be used to print these nano feature. Imprint technology claims that it is able to print 0.01u (10nm) - It may be the future masking.,66,6.1 History,Lithography, as used in the manufacture of the integrated circuit, is the process of transferring geometric shapes on a mask to the surface of a silicon wafer. These shapes make up the parts of the circuit, such as gate electrodes, contact windows, metal interconnections, and so on. Although most lithography techniques used today were developed in the past 40 years, the process was actually invented in 1798; in this first process, the pattern, or image, was transferred from a stone plate (the word litho comes from). The first practical two dimensional device patterning on a silicon wafer was actually carried out in the late 1940s at the Bell Lab. At that time, polyvinylcinnamate, developed by Eastman Kodak, was used as a resist. However, device yields were low because of the poor adhesion of the polyvinylcinnamate to the silicon and oxide surface. The Kodak chemists then turned to a synthetic rubber based material-a partially cyclized isoprene and added a UV active sensitizer-a bis-aryl-azide into it to crosslink the rubber matrix and created a new class of photoresist material. Since the unexposed area of the new material was the only part of the polymer matrix that will dissolve in an organic solvent and yielding a negative image of the mask plate, therefore, the new material was then referred as the negative resist. The cyclized rubber/bisazide resist was widely used in the contact printing age. However, the contact mode of printing created severe wear of the mask plate and the defect density of the photomask and the wafer was very high. The industry therefore decided to switch to contactless projection printing in 1972 for producing the 16k DRAM. Projection printing, however, was carried out in the Fraunhoffer or the so called far field diffraction region and the aerial image was much poorer than the contact or proximity method of printing. In order to preserve the same quality of image structure, the contrast of the image material must be increased.,67,Lithographic lore has it that the diazonaphthoquinone/novolak resist (the term novolak is derived from the Swedish word lak, meaning lacquer or resin and prefixed by the Latin word novo, meaning new) made their way from the blue print paper industry to the microelectronic through family ties: at that times, the offices of Azoplate, the American outlet for Kalle printing plate, was located at Murray Hill, NJ, just across the street from the famous Bell Labs. The father of a technician at Azoplate worked as a technician at Bell Labs. Apparently the father had complained one day about the poor resolution quality of the solvent developed resist system used at the Bell Labs and the son had boasted the properties of the Azoplate DNQ/novolak material; anyway, one day the father took a bottle of the material with him to the Bell Labs, and the age of the DNQ/novolak resist began. The new material was marketed by Azoplate under the trade name of AZ photoresist. It was always referred as the positive resist for a positive tone of image would be reproduced by the new material. The use of DNQ/novolak system increased rapidly after the introduction of the projection lithography. By 1980s, the DNQ resist had completely supplanted the old negative resist as the workhorse of the semiconductor industry in the high-end applications. The DNQ/novolak resist has held sway for 6 device generations, from the introduction of the 16K DRAM to the large scale production of the 64M DRAM in 1994 to 1995. The success of such material was the indicative of it supreme performance and potential. Today, it appears that it is not really the resolution which defines the limit of the DNQ/novolak resist application, but rather the loss in the depth of focus with the ever increasing NA of the stepper. Deep UV and chemical amplification negative tone resist slowly erode the market place of the DNQ/novolak resist. By the end of the 1990s, the DNQ/novolak resist was no longer be used in the technologically most advanced applications-the printing of the critical levels of the 256M DRAM.,68,6.2 Future,Introduction of nanoimprint technology Fabricating microstructures and nanostructure is important in many fields of science and technology, including electronics, data storage, flexible displays, microelectromechanical systems, microfluidics, photonics and biosensors. Traditionally, optical or electron beam lithography systems are used to print the relevant structures. However, new printing methods such as imprint lithography and soft lithography have recently been explored in some detail to lower the costs of fabricating low volumes of structures with very small features and to increase the range of printing application. The soft lithography schemes, in general, use a soft template pattern made of silicone elastomer, polydimethylsiloxane (PDMS), which is placed into contact with the substrate in a variety of ways, to pattern a surface film, to transfer a material, or for direct integration into the final part, with a range of innovative applications. Challenges in this area are generally concerned with the inherent limitations of the PDMS material including resolution limitations when curing due to differences in thermal expansion between the master and mold; adhesion to common master materials like silicon; significant time, about an hour, to fabricate a mold; elasticity of the mold, which may impact multilevel alignment; insolubility with common solvents; contamination issues and incompatibility with some organic materials.,69,The imprint methods utilize heat or UV curable liquids to mold patterns onto a substrate from a rigid template. Research groups have demonstrated sub-100nm resolution, some have down to 10nm. Imprint process; however, do not transfer materials from the template to the substrate like the soft lithography schemes. Another hesitation with the imprint technique concerns the lifetime of the master pattern. The problem is similar to that encountered in the contact photolithography, where it has been found that the defect free lifetime is only limited to less than 1000 passes, despite the application of coatings and lubricants. This concern arises from the important requirements that the substrate must undergo significant contact and removal forces, that a rigid master pattern is used and that a tool is required to achieve good imprints. In Stanford University, a new class of high resolution pattern formation and materials transfer printing strategy has been developed. This new method is collectively referred to as molecular transfer lithography. The new approach is based on the room temperature fabrication of water soluble polymer templates by spin casting a polyvinyl alcohol film forming solution to replicate surface patterns. It is different than the imprint lithography and soft lithography by employing a water soluble template. The template dissolves at the conclusion of the image transfer, whereas in the alternative approaches, the template is reused. The use of the water soluble template for patterning microstructure and nanostructure features enables a true contact printing method wherein the master template does not actually contact the substrate and the possibility of substrate to mask damage is eliminated and the integrity of the master pattern is preserved during the replication process.,70,
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸设计 > 毕设全套


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!