QuartusⅡ中宏功能模块的使用.ppt

上传人:za****8 文档编号:12667838 上传时间:2020-05-13 格式:PPT 页数:17 大小:1.65MB
返回 下载 相关 举报
QuartusⅡ中宏功能模块的使用.ppt_第1页
第1页 / 共17页
QuartusⅡ中宏功能模块的使用.ppt_第2页
第2页 / 共17页
QuartusⅡ中宏功能模块的使用.ppt_第3页
第3页 / 共17页
点击查看更多>>
资源描述
,西安邮电学院计算机系,Quartus中宏功能模块的使用,西安邮电学院计算机系,2,2020/5/13,Quartus中宏功能模块的使用,Quartus软件针对常用的功能,提供了参数化(Parameterized)的宏功能(megafunctions)模块,通过调用宏功能模块,可以减少工作量,加快设计的进程。用户可以通过向导工具MegaWizardPlug-InManager调用宏功能。该向导工具帮助用户建立或修改包含自定义宏功能模块变量的设计文件,这些设计文件可以在用户的设计中进行实例化。,西安邮电学院计算机系,3,2020/5/13,Quartus中宏功能模块的使用,在Quartus软件中使用MegaWizardPlug-InManager对宏功能模块进行实例化的步骤如下:1选择菜单ToolsMegaWizardPlug-InManager(图1),或直接在原理图设计文件的Symbol对话框中点击MegaWizardPlug-InManager(图2),则弹出对话框(图3)。,图1,图2,西安邮电学院计算机系,4,2020/5/13,Quartus中宏功能模块的使用,图3,选择新建一个宏功能实例,进入下一步(图4)。,西安邮电学院计算机系,5,2020/5/13,图4,这里列举了可供调用的宏功能模块,数学运算功能类,包括代码纠正、浮点加/减/乘法器、计数器、平方根等功能模块。,逻辑门类功能类,包括与/或/非门常数发生器、反相器模块等。,I/O接口功能类,包括数据收发器锁相环、I/O缓冲模块等。,在系统调试类,包括串/并载入SignalTap逻辑分析、虚拟JTAG接口模块等。,寄存器类,包括各种ROM、RAM和FIFO模块。,存储器类,包括各种参数化的锁存器、移位寄存器模块等。,须购买的IP模块,Quartus中宏功能模块的使用,西安邮电学院计算机系,6,2020/5/13,Quartus中宏功能模块的使用,下面是一个双口RAM的例化及调用过程:,图5,在工程目录下设置例化的文件名,选择输出语言,选择器件,选择双口RAM,西安邮电学院计算机系,7,2020/5/13,Quartus中宏功能模块的使用,图6,选择端口模式-分立的读写端口,选择读写模式-按字节读写,西安邮电学院计算机系,8,2020/5/13,Quartus中宏功能模块的使用,图7,选择存储深度,选择字宽,选择例化时调用的资-M4K资源/逻辑资源(LC),西安邮电学院计算机系,9,2020/5/13,Quartus中宏功能模块的使用,图8,选择异步读写时钟,西安邮电学院计算机系,10,2020/5/13,Quartus中宏功能模块的使用,选择读出端是否加D触发器,图9,西安邮电学院计算机系,11,2020/5/13,图10,Quartus中宏功能模块的使用,选择存储空间初始化方式及初始值,西安邮电学院计算机系,12,2020/5/13,图11,Quartus中宏功能模块的使用,仿真与综合相关信息,西安邮电学院计算机系,13,2020/5/13,图12,Quartus中宏功能模块的使用,选取输出的文件,.bsf文件:图形编辑器中使用的宏功能模块符号.v文件:VerilogHDL实例化的宏功能模块包装文件,完成定制过程,西安邮电学院计算机系,14,2020/5/13,Quartus中宏功能模块的使用,图13,图形输入调用:新建图形输入文件,在空白区域双击左键弹出元件选取对话框如图,Project目录下将出现实例化ram4k元件供调用,西安邮电学院计算机系,15,2020/5/13,Quartus中宏功能模块的使用,也可在程序中嵌入以下语句实现调用:ram4kram4k(.data(),.rdaddress(),.rdclock(),.wraddress(),.wrclock(),.wren(),.q();这段程序将实现子程序模块的例化,图15,图14,西安邮电学院计算机系,16,2020/5/13,Quartus中宏功能模块的使用,Altera的Megafunction是重要的设计输入资源。由于Megafunction是基于Altera底层硬件结构最合理的成熟应用模块的表现,所以在代码中尽量使Megafunction这类IP资源,不但能将设计者从繁琐的代码编写中解脱出来,更重要的是在大多数情况下Megafunction的综合和实现结果比用户编写的代码更优。Megafunction包括Altera的参数化模块库(LPM,libraryofparameterizedmodules),器件专有的Megafunction模块,用AlteraMegaCoreIP生成工具调用的IPCore,以及AlteraMegafunction计划协作者(AMPP,AlteraMegafunctionParternersProgram)提供的第三方IPCore。特别是针对一些与Altera器件底层结构相关的特性,必须通过Megafunction实现,例如一些存储器模块(DPRAM、SPRAM、FIFO、CAM等),DSP模块,LVDS驱动器,PLL,高速串行收发器(SERDERS),DDR输入/输出(DDIO)等。另外一些诸如乘法器、计数器、加法器、滤波器等电路虽然也可以直接用代码描述,然后用通用逻辑资源实现,但是这种描述方法不但费时费力,在速度和面积上与Megafunction的实现结果仍然有较大的差距。,西安邮电学院计算机系,17,2020/5/13,THANKYOU!,完,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!