基于matlab的多路时分复用仿真

上传人:痛*** 文档编号:92128981 上传时间:2022-05-18 格式:DOC 页数:15 大小:585.04KB
返回 下载 相关 举报
基于matlab的多路时分复用仿真_第1页
第1页 / 共15页
基于matlab的多路时分复用仿真_第2页
第2页 / 共15页
基于matlab的多路时分复用仿真_第3页
第3页 / 共15页
点击查看更多>>
资源描述
通信系统原理综设实验报告多路时分复用matlab仿真系统 教师评语: 一、 引言在实际的通信系统中,为了扩大通信链路的容量,提高通信系统的利用率,需要在一条链路上传输多路独立的信号,即实现多路通信。时分复用就是一种常用的多路通信方式。它采用同一物理连接的不同时段来传输不同的信号以达到多路传输的目的。多路时分复用以时间作为信号分割的参量,故必须使各路信号在时间轴上互不重叠。时分多路复用适用于数字信号的传输。由于信道的位传输率超过每一路信号的数据传输率,因此可将信道按时间分成若干片段轮换地给多个信号使用。每一时间片由复用的一个信号单独占用,在规定的时间内,多个数字信号都可按要求传输到达,从而也实现了一条物理信道上传输多个数字信号。 本实验系统的预期功能是通过设计的仿真系统运行能够得出各路的信号波形、复用后的信号波形以及解复用的各点波形。主要原理图示如下:图1-1 复接、解复接流程图图1-2 按字复接示意图帧同步码8位8位8位8位8位8位8位01110010数据1数据2数据3数据4数据5数据6数据7图1-3 时分复用输出信号帧结构图1-4 特征信号复接波形示意图二、 系统框图及分析本次仿真实现不同速率信号的时分多路复用系统。共八路输入信号,其中第一路信号为帧同步码信号,其余各路为用户信息信号。设标准频率均为1/8000,即每比特125s(标准位),8个比特作为一个周期序列,即1个标准帧帧长为1ms。时分多路系统仿真模型图框架如下图所示: 图2-1 时分多路复用系统仿真模型图系统主要分为五大模块:(1)特征信号产生模块(subsystem)(2)并串转换模块(repositor)(3)信号提取模块(filter)(4)特征信号分离模块(demultiplexer)(5)信号还原模块(transmission)其中(1)(2)模块又可看成复用部分,(3)(4)(5)可看成解复用部分。三、 各部分结构原理及分析1、 特征信号产生模块特征信号产生器框图如下所示。 图3-1 时分多路系统仿真模型图内部构成:一级定时时钟(频率1/8000),延时模块,特征信号产生器(上图中的Subsystem5等)。各路输入信号序列依次为0 1 1 1 0 0 1 0 0 1 0 1 0 1 1 1 1 1 0 1 1 0 0 0 0 1 0 1 1 0 1 0 1 0 0 1 1 1 0 1 0 0 1 1 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 1 0 1 1 0。其中,第一路为帧同步码,采用巴克码。第三路频率为1/4000,第四路频率为1/2000,第五路频率为1/4000,其余各路为标准频率1/8000。图3-2 各路输入信号波形每路输入信号的每个延时单元频率与该路信号原频率相同。使第18路输入信号分别延时18个单元,利于时钟抽样。定时时钟的每个延时单元频率均为1/(2*8000),可使时钟延迟一个上升沿进行采样。(之所以是1/(2*8000)而不是1/8000,是由于占空比50%的原因)级联延时单元,使每路信号的Subsystem5-8的时钟起始时间产生相应延时,便于经过Subsystem5-12处理后的各路信号合拼到同一信号。(即使各路输入信号经过Subsystem5-12压缩字长信息到一位,再依次延时一至八位,以便并串转换器依次抽取各路压缩特征信号,合并到复用帧的第一至八位)延时信号波形上表现为原波形右移相应延时时间。第一至八路定时时钟分别延迟了18个标准位。Scope示波器输出延时后的各输入信号和经由Subsystem5、6、7、8处理后的各中间信号。延时后的各输入信号波形上表现为右移14个比特。(1) Subsystem5-12功能分析内部构成如下图。其中二级时钟频率1/(8000*8),作为Multiport Switch的抽样频率,共8路分解信号合成一个特征信号,因复用帧帧长为原信号的字长,故二级时钟频率设置为1/(8000*8)。Subsystem以8比特为周期(一个字周期,也是一帧周期),每一比特作为单位,依次将该路信号分解成8路,其中,原信号每个上升沿时刻分解出的信号在该时刻起置1。分解出的8路信号送往Multiport Switch进行抽样。Multiport Switch经过抽样合并形成一路信号输出。这样便形成了特征信号,使复用帧中相应的第N位包含第N路原信号的字信息。此Subsystem5-8模块用于实现“按字复接”,使每比特长度由标准位125s变成新的位长125/8s = 15.625s。图34 Subsystem5-8的内部构成其中,Counter参数如下图所示。Counter1-7 的参数 Max count = 7,代表一个周期抽样阶级从0抽到7级,Initial count = 1,代表起始抽样阶级为1,Hit values 依次为 0-7,目的是使时钟信号的第1-8个上升沿分别依次对输入信号的8个比特进行抽样。Hit values = 2,代表当且仅当该周期抽样阶级信号为第2级时(如第一个上升沿到达至第二个上升沿到达的期间)输出1。其中Subsystem内部结构如下。图3-5 Subsystem内部结构 图3-6 Subsystem中的Counter参数设置Counter2 这一路的时钟、Hit信号(Hit values = 2)、输出信号的对比如下。由于 Initial count = 1,故 Hit values = 2 对应第2阶级,如图输出1(下图第二路)。图3-7 Counter2这一路的时钟、Hit信号(Hit values = 2)、输出信号的对比Subsystem5中的Scope1输出波形如下(即经由Subsystem处理后的信号波形)如上所述,Subsystem的时钟为一级时钟经过延迟单元后的时钟,Subsystem将该路信号分解出8路信号,每个上升沿时刻置1,送往Multiport Switch进行抽样,合并形成一路信号输出。图3-8 Subsystem5的内部信号分析对比图上图中的阶梯信号由Subsystem5二级时钟经过Counter1后产生,作为Multiport Switch的选通信号,当级数为N时选通Out(N+1),对Subsystem的输出信号进行抽样。抽样结果如上图最后一行所示。从0.85ms起才得到合要求的抽样,这是因为Subsystem在0.85ms之后才完成对原信号的8路分解。Subsystem5-8的特征信号输出如下图偶数行所示。Subsystem9-12与Subsystem5-8类似,因此不再分析。图3-9 Subsystem5-8的特征信号输出(2) 特征信号产生模块的输出波形各路特征信号输出波形为下图第1-8行,按字复接后的信号为第9行。由于Multiport Switch的关系,按字复接后的信号在3ms后才能保证完整体现复接信号的特征。其中,第一路传输帧同步码,采用巴克码。第三路频率为1/4000,第四路频率为1/2000,第五路频率为1/4000,其余各路为标准频率1/8000。图3-10 各路特征信号及复用帧信号输出波形由图可见,两组红线之间的部分为第三路信号在复接信号中的位置,其出现一个完整周期的时间为2ms,读图,该信号为01101100 00011110,由于第三路信号的频率为标准频率(1/8000)的一半,因此标准频率信号在复接信号中出现一个完整周期所需时间为1ms,而第三路信号则要2ms,相应地,每两个0为原信号的一个0,每两个1为原信号的一个1,因此0,11,11,00,0 0,00,11,11,0 从倒数第二组1起循环右数,即为第三路原信号11011000。由于第四路信号频率为1/2000,故复接信号的波形周期为4ms,比如3-7ms 和 7-11ms,各为一个周期时间,即复用帧帧长以最低频率的输入信号为基准,这里为4ms。2、 并串转换模块时钟频率为1/8000。此模块对前面产生的各路特征信号进行依次抽取,合并到复用帧的第一至八位,产生复用帧信号,波形见图3-10。图3-11 并串转换模块内部结构3、 信号提取模块内部主要组件为帧同步模块、延时模块和多路选通模块。图3-12 信号提取模块内部结构(1) 帧同步模块通过延时和逻辑运算来识别帧同步码(巴克码01110010),从而提取帧同步脉冲。图3-13 帧同步模块内部结构信号提取模块中的Scope波形如下,其中第一行波形为复用帧同步脉冲,第二至四行为经过延时和逻辑运算的中间过程,第五行为字同步信号,字长为原输入信号的位长,第六行为复用帧信号,第七行为去除帧同步码后的复用帧信号(以下简称复用帧信号)。图3-14 信号提取模块的Scope波形信号提取模块中的Scope1波形如下,其中第一行波形为复用帧同步脉冲,第二至四行为经过延时和逻辑运算的中间过程,第五行为时分解复用模块的定时时钟信号。图3-15 信号提取模块的Scope1波形4、 特征信号分离模块特征信号分离模块内部结构如下,对信号提取模块产生的字同步信号分别做相应的延时,再与复用帧信号相与,分离出7路特征信号。图3-16 特征信号分离模块内部结构图分离出的7路特征信号波形如下2至8行,即最顶层Scope2所示。图3-17 特征信号分离模块波形输出5、 信号还原模块信号还原模块的内部结构如下。其中filter用于对clk(即信号提取模块中产生的帧同步脉冲)进行处理,产生pulse信号输入到Subsystem9-12作为特征信号抽样脉冲。信号提取模块中产生的SwitchCLK作为Subsystem9-12的定时时钟信号。图3-18 特征信号分离模块内部结构图图3-19 特征信号分离模块输出波形 下面以Subsystem9为例进行分析。Subsystem9主要由Subsystem1和Multiport Switch组成。其中Subsystem1用于将特征信号的上升下降状态(即0、1状态)提取出来8路信号,供Multiport Switch进行多路选通复原原始信号。Subsystem1的原理及内部组成与时分复用模块中的特征信号产生模块相似。图中SwitchCLK为定时时钟信号,频率为标准频率1/8000,由信号提取模块产生提取。此定时时钟信号频率与时分复用模块中的一级定时时钟频率相同,进行相应的延时,作为Multiport Switch的选通时钟,对特征信号的0、1状态进行抽取,还原原输入信号。图3-20 Subsystem9 内部结构图四、 实验数据结果及分析最后各路输出结果与原输入信号的对比如下图所示,左边为最顶层scope4原输入信号,右边为最顶层scope3 TDM解复用后的输出信号,可以看见,除了各路之间的相位关系不一样外,从0.03ms起的各路波形与原输入信号一致。之所以是0.03ms,是因为原输入信号的第四路信号频率为1/2000,使特征信号在0.03ms后才能完全表现出其特征。图4-1 各路输出结果与原输入信号的对比五、 实验分工 找相关文字资料,撰写设计报告,完善修改设计报告内容,设计及调试仿真系统,主要负责特征信号分离模块和信号还原模块。 找相关论文学习资料,撰写实验报告原理及结果分析部分,设计系统框架及原理,主要负责特征信号产生模块和并串转换模块。 找相关视频学习资料,撰写实验报告系统分析及调试部分,设计仿真系统,负责信号提取模块。六、 实验中遇到的问题及解决方法1、不知如何加入帧同步信号,确定信号的起始端。 解决方法:在输入端加入一路信号作为帧同步信号,在解复端利用与门等逻辑运算对帧同步信号进行校验。1、不同速率时帧同步识别出现错误。 解决方法:使用巴克码作为帧同步码,能够准确地提取帧同步信号。3、一开始在解复接部分中采用了外部时钟,后来发现者并不符合要求。 解决方法:在帧同步识别之后,进行相关逻辑运算,提取定时信号作为解复用部分的时钟。七、 参考文献1 吴玲达,李国辉,杨冰 等著. 计算机通信原理与技术M. 国防科技大学出版社,2003.2 潘新民 著. 计算机通信技术M. 电子工业出版社,2003,7.3 孙丽华 著. 信息论与纠错编码M. 电子工业出版社,2005,34 Proakis 著,张力军 译. 数字通信(第四版)M. 电子工业出版社,2004,7.5 樊昌信 著.通信原理M.国防工业出版社,1999,10.6 钱恭斌.实用通信与电子线路的计算机仿真.北京:电子工业出版社,2001.1、
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!