(完整word版)十六进制7段数码显示译码器设计实验报告

上传人:e****s 文档编号:56633006 上传时间:2022-02-22 格式:DOC 页数:14 大小:809KB
返回 下载 相关 举报
(完整word版)十六进制7段数码显示译码器设计实验报告_第1页
第1页 / 共14页
(完整word版)十六进制7段数码显示译码器设计实验报告_第2页
第2页 / 共14页
(完整word版)十六进制7段数码显示译码器设计实验报告_第3页
第3页 / 共14页
点击查看更多>>
资源描述
实验名称 :十六进制7 段数码显示译码器设计实验目的:1设计七段显示译码器2学习 Verilog HDL 文本文件进行逻辑设计输入;3学习设计仿真工具的使用方法;工作原理:7 段数码是纯组合电路,通常的小规模专用IC ,如 74 或 4000 系列的器件只能作十进制 BCD码译码,然而数字系统中的数据处理和运算都是二进制的, 所以输出表达都是十六进制的, 为了满足十六进制数的译码显示, 最方便的方法就是利用译码程序在 FPGA/CPLD中来实现。例如 6-18 作为 7 段译码器,输出信号 LED7S的 7 位分别接图 6-17 数码管的 7 个段,高位在左,低位在右。例如当 LED7S输出为“ 1101101”时,数码管的 7 个段 g,f,e,d,c,b,a 分别接 1,1,0,1,1,0,1 ;接有高电平的段发亮,于是数码管显示“5”。注意,这里没有考虑表示小数点的发光管,如果要考虑,需要增加段 h,例 6-18 中的 LED7S:OUTSTD_LOGIC_VECTOR(6 DOWNTO 0)应改为 (7 DOWNTO 0)。实验内容 1:将设计好的 VHDL译码器程序在 Quartus II上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。实验步骤:步骤 1:新建一个文件夹击打开vhdl 文件;步骤 2: 编写源程序并保存步骤 3:新建一个工程及进行工程设置步骤 4:调试程序至无误;步骤 5:接着新建一个VECTOR WAVEFOM文件及展出仿真波形设置步骤 6:输入数据并输出结果(时序仿真图)步骤 7:设置好这个模式步骤 8:生成 RTL原理图步骤 9:引脚锁定及源代码LIBRARY IEEE;ENTITY DECL7S ISPORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END;ARCHITECTURE one OF DECL7S ISBEGINPROCESS(A)BEGINCASEA ISWHEN0000= LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7SNULL;END CASE;END PROCESS;END;实验内容二 :1、硬件测试。程序不一样,其他步骤相同操作LIBRARY IEEE;ENTITY CNT4B ISPORT (CLK,RST,ENA:IN STD_LOGIC;OUTY:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT:OUT STD_LOGIC);END CNT4B;ARCHITECTURE behav OF CNT4B ISBEGINPROCESS(CLK,RST,ENA)VARIABLE Q:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST=0 THEN Q:=(OTHERS=0);ELSIF CLKEVENT AND CLK=1 THENIF ENA=1 THENQ:=Q+1;END IF;END IF;IF Q=1111 THEN COUT=1;ELSE COUT=0;END IF;OUTYclock0, RST=rst0, ENA=ena0, OUTY=tmp,COUT=cout0);u2:DECL7S PORT MAP(A=tmp, q=led);END ARCHITECTURE ad1;2、时序仿真波形:3、RTL原理图:(计数器和译码器连接电路的顶层文件原理图)注意:运用实验三,调用实验一和实验二的RTl 原理图得计数器和译码器连接电路的顶层文件原理图在引脚锁定及硬件测试。建议选 GW48系统的实验电路模式 6( 参考附录图 F-6 ),用数码 8 显示译码输出 (PIO46PIO40),键 8、键 7、键 6 和键 5 四位控制输入,硬件验证译码器的工作性能。提示 1:目标器件选择MAX7000S系列的 EPM7128SLC84-15。提示 2:引脚锁定除了参考第5 章第 2 节内容外,具体引脚编号选定应参考“实验附注资料附注 3:万能接插口与结构图信号/ 与芯片引脚对照表”的“ EPM7128S-PL84”栏目。提示 3:选实验电路模式 6,参考“实验附注资料 附注 2:实验电路结构图”的“附图 2-8 实验电路结构图 NO.6”栏目。实验心得及个人心得 :通过本次实验,对Quartus II有了进一步的学习和认识,对Verilog也有了深入了解。学会了7 段数码显示译码器的Verilog硬件设计,学习了VHDL的CASE语句应用及多层次设计方法。在设计顶层文件时,最有深刻体会,自己在不知道弄错了多少次和请教过别人多次,在终于知道顶层文件怎样生成的所以我们应该学会认真分析程序,弄清实验原理,做实验时耐心、认真,遇到问题争取自己解决。认真总结实验,分析波形,完成实验报告。特别经过一个学期的学习,我并不说我完全懂得EDA技术,我知道在程序方面还有很多要学习的,对于 EDA,我都还懂得一些必要的语法和程序。这门课程锻炼了我读程序的能力和分析语法用法的能力,为我将来学更高级的语言打下了基础,很多语言都是有相通的地方, 只是有些用法不太一样而已。 但我学到了运用Quartus II这个软件,并靠自己慢慢去摸索,慢慢研究。能多学一些软件就多学一些,毕竟不知道将来的路怎样发展。虽然老师说EDA这门课程出来后用处不大,但我觉得锻炼了自我学能力和分析问题、解决问题的能力。
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 演讲稿件


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!