基于FPGA的曼彻斯特编码器的方案设计书大学本科方案设计书

上传人:B****n 文档编号:55781405 上传时间:2022-02-18 格式:DOCX 页数:49 大小:1.19MB
返回 下载 相关 举报
基于FPGA的曼彻斯特编码器的方案设计书大学本科方案设计书_第1页
第1页 / 共49页
基于FPGA的曼彻斯特编码器的方案设计书大学本科方案设计书_第2页
第2页 / 共49页
基于FPGA的曼彻斯特编码器的方案设计书大学本科方案设计书_第3页
第3页 / 共49页
点击查看更多>>
资源描述
毕业设计(论文)题目:基于 FPGA 的曼彻斯特编码器的设计系别信息工程系专业名称电子信息工程班级学号078205224学生姓名林玉指导教师邹琼二O一一年六月毕业设计(论文)任务书I 、毕业设计 (论文 )题目:基于 FPGA 的曼彻斯特编码器的设计II 、毕 业设计 (论文 )使用的原始资料 (数据 )及设计技术要求:MIL-STD-1553B是一种集中控制式、时分指令/响应型多路串行数据总线标准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的有效解决方案,广泛地应用于飞机、舰船、坦克等武器平台上,并且越来越多地应用到民用领域。MIL-STD-1553B总线上的数据以双相曼彻斯特编码的方式传输。1553B 总线曼彻斯特码编码器的主要功能就是把来自外部的并行二进制数据转化为1553B 总线上传输的串行信息,并且对这些串行数据进行曼彻斯特码编码,再加上同步头和奇偶效验位,使之成为能够以1553B 总线协议所要求的格式在总线中进行传输。本课题基于 FPGA平台实现曼彻斯特编码器的设计。III 、毕 业设计 (论文 )工作内容及完成时间:第 1 周 -第 3 周 :资料查找、方案论证、英文资料翻译、开题报告撰写。第 4 周 -第 7 周 :学习 MIL-STD-1553B 总线的相关知识,熟悉曼彻斯特编码器原理。第 8 周 -第 14 周 : 熟悉 FPGA 编程,使用 VHDL 语言完成曼彻斯特编码器的设计。第 15 周 -第 17 周 :归总系统文档,撰写毕业论文。第 18 周 :准备答辩。第 1 周 -第 3 周 :资料查找、方案论证、英文资料翻译、开题报告撰写。 、主要参考资料:1. 徐志军 .EDA 技术与 VHDL 设计 M. 北京 : 电子工业出版社, 2009.2. 潘松,赵敏 .EDA 技术及其应用 M. 北京 :科学出版社, 2008.3. 李洪伟等 .基于 Quartus II 的 FPGA/CPLD 设计 M. 北京 :电子工业出版社, 2006.4.杨凯 . MIL-STD-1553B总线曼彻斯特码编码器的设计与实现D. 四川大学, 2006.5.唐剑,王勇 . MIL-STD-1553B总线曼彻斯特码编码器的CPLD 实现 J. 技术与市场,2008, 2.6. 石红梅,刘泳. 采用 FPGA 实现 1553B 总线接口专用芯片设计C.第十三届全国遥测遥控技术年会论文,2004.7. 解传军,王海滨 . 基于 FPGA 的航空总线协议接口设计 J. 电子设计工程,2009, 5.8. 郑友泉 .现场可编程门阵列 J.世界电子元器件, 2005,10.信息工程系电子信息工程专业类0782052班学生(签名):填写日期:2010年11月20日指导教师(签名) :助理指导教师(并指出所负责的部分):系主任(签名) :附注 :任务书应该附在已完成的毕业设计说明书首页。学士学位论文原创性声明本人声明,所呈交的论文是本人在导师的指导下独立完成的研究成果。除了文中特别加以标注引用的内容外,本论文不包含法律意义上已属于他人的任何形式的研究成果,也不包含本人已用于其他学位申请的论文或成果。对本文的研究作出重要贡献的个人和集体,均已在文中以明确方式表明。本人完全意识到本声明的法律后果由本人承担。作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权南昌航空大学科技学院可以将本论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。作者签名:日期:导师签名:日期:基于 FPGA 的曼彻斯特编码器的设计学生姓名:林 玉班级: 0782052指导老师:邹琼摘要:电子技术与航空产业飞速发展,已成为我国支柱产业之一。我国政府高度重视航空航天产业发展,“十二五 ”期间将进一步加大政府支持力度,促进航空航天产业快速发展。在飞机制造中, 航空电子系统是其中重要的组成部分,而航空电子系统中数据总线是关键技术之一。11553B作为一种通用的军事标准协议,它对数据总线的电气和协议特性进行严格的规范和约束,现已广泛运用于航空电子综合系统。该标准对航空飞机所需的数字命令响应,分时复用等数字总线技术提出了一系列的要求,其中包括数据总线的传输和协议格式。本文首先对FPGA和曼彻斯特编码相关原理进行概述,尤其是航空数据总线MIL-STD-1553B 。其次是对其系统组成的介绍,本次设计主要包括两大部分,并串转换器、曼彻斯特编码器。最后着重讲述该系统在quartus 软件下的运行和仿真。1553B 总线协议中对传输的字类型进行了规范和分类:分别是命令字、状态字和数据字。本次论文的设计主要着重于该协议总线接口内部的曼彻斯特码编码器,作为总线接口的重要组成部分,曼彻斯特编码器担负着码型编写、奇偶校验等重要任务,使数据能够以 1553B 所定义的标准形式在数据总线中进行传输。关键词: FPGA ,曼彻斯特编码,1553B 总线,串并转换指导老师签字:Design of Manchester Encoder Based on FPGAStudent Name: Lin YuSupervisor:Zou QiongClass: 0782052Abstract: Electronics and Aviation technology industry developed rapidly. Our country attaches great importance to the development of aerospace industry.In the period of Twelfth of Five-Year government will support further increase Aviation, it will Promote the rapid development of the aerospace industry.In aircraft manufacturing,Avionics System is an important part of the Aviation.The avionics system is the key technology of data bus.1553B takes one kind of military standard, it has carried on the strict standard and the restraint tothe electricity and the protocol characteristic of data bus ,and it has already widely used in the aviationelectron system This standard propose a series of requests to the digital bus technology which areobligatoryto the aviation airplane numeraI/Order/response, time sharing multipleuse, includingdata bus information flow and function formatThis article first introduces about the FPGA and Manchester Encoder , especially MIL-STD-1553B.Next is the introduction of its two composition unit,It including String and convertand ManchesterEncoder.Fhen theone thattoldemphaticallyis quartus ofOperationandSimulation ,in the1553Bs protocol ,the word types which transmitted in the 1553B bus has carried on the standard classification ,they are the order word 、the status word and the data wordthe design for this paper mainly emphatically in the protocol bus interface interior Manchester code encodeg as the bus interface important constituent ,the encoder is shouldering the parallelserial Ixansformation ,thecode compilation , the parity check and so on the important task, enables the data according to thestandard form which defines by 1553B to carry on the transmission in the data bus.Keywords: FPGA, Manchester Encoder, 1553B, String and convertSignature of Supervisor:目录1 绪论1.1 选题的依据和意义11.2 项目研究内容和任务11.3 论文内容及结构22 FPGA原理及开发工具2.1 FPGA 原理32.2 Quartus 及开发语言43 曼彻斯特编码原理3.1 1553B 数据总线83.2 曼彻斯特编码原理94 系统总体设计4.1系统设计要求 . .104.2系统设计思路 . .104.3系统设计框图 . .115 系统模块设计5.1 并串转换器的设计125.2 曼彻斯特编码器设计146 系统仿真及结果分析6.1 并串转换器仿真及结果分析186.2 曼彻斯特编码器仿真及结果分析266.3 系统总体仿真及结果分析297 结论及展望34参考文献35致谢36附录 A37附录 B41南昌航空大学科技学院2011 届学士学位论文基于 FPGA 的曼彻斯特编码器的设计1 绪论1.1 选题的依据和意义航空航天产业是国家综合国力的集中体现和重要标志,是国家先进制造业的重要组成部分,是国家科技创新体系的一支重要力量。促进航空航天产业快速发展。在飞机制造中,航空电子系统是其中重要的组成部分,而航空电子系统中数据总线是关键技术之一。MIL-STD-1553B是目前广泛适用于航空电子领域的协议标准,它具有传输稳定、抗干扰、负荷轻、速率高等优点。其主要被欧美军方用于军事系统,在战斗机、航天设备、驱逐舰等军用设施上得到了非常多的运用和实践。为了适应现代军事斗争的需要,近年来,我国在新型战斗机、驱逐舰的研制中已开始采用 1553B 标准。但是,由于该标准的核心技术为欧美公司所垄断。 因此,自主研发基于 MIL-STD-1553B 总线标准的协议芯片及产品具有重要的战略意义。目前,此类器件在国内还较少出现, 对于整个 1553B体系架构的把握尚未形成,我们急需改变这种不利情况。自主研发 1553B相关产品首先必须解决曼彻斯特码的编码、解码。本文的主要工作就是研究 1553B 曼彻斯特码编码器的设计及实现。曼彻斯特码编码器是 1553B总线接口中最为重要的器件之一,它直接关系到总线数据能否严格按照 MIL-SID-1553B 标准协议来进行传输,是其三种类型字传递的门户端口器件。本课题设计就是基于 FPGA的曼彻斯特编码器的设计。1.2 项目研究内容和任务本项目主要研究 MIL-STD-1553B上的曼彻斯特码编码器的软件设计和实现。技术上采用 FPGA作为硬件平台,为了使 FPGA器件能顺利完成曼码的编码任务,它应该包括这样几个部分:1.并串转换器的设计并串转换器的主要工作是将16位由前端输入的并行数据转换为曼彻斯特能够编码的串行数据。它主要由时钟信号、转换使能信号控制。2.曼彻斯特编码器的设计在每个周期的开头加同步字头,数据输入时间持续16 个周期,期间对数据进行编码。若输入的数据信元为“1”,编码就通过输出一个下降沿来表示,当输入数据为“ 0”时,编码则输出一个上升沿。数据位输入结束后,编码器将对输入的数据信元进行奇偶校检,如果在输入的数据信元中“1”的个数为奇数,编码器输1南昌航空大学科技学院2011 届学士学位论文出一个上升沿,反之若数据信元中“1”的个数为偶数则输出一个下降沿。3.软件设计的仿真Quartus 借助 EDA工具中的编译器、综合器、适配器、时序仿真器和编程器等工具进行相应的处理,才能使已完成的设计在FPGA上进行硬件实现并得到测试结果。 EDA工具有多种途径完成目标系统的输入,如HDL文本输入方式、原理图输入方式、状态图输入方式以及混合输入方式等。相对而言,HDL文本输入方式是最基本也是最直接的输入方式。将通过实例具体介绍基于Quartus II的 VHDL文本输入流程,包括设计的输入、综合、适配、仿真测试和编程下载等。1.3 论文内容及结构第二章介绍了FPGA的有关原理,及它的设计流程,vhdl 语言的概述等;第三章介绍了曼彻斯特编码的有关知识,尤其介绍了1553B数据总线;第四章对本文框架进行了讲述,有设计思路的比较和设计框图;第五章介绍了主要的两大模块:串并转换模块和曼彻斯特编码模块;第六章对该系统功能进行了仿真验证;第七章是对本次设计的小结和展望。2南昌航空大学科技学院2011 届学士学位论文2 FPGA原理及开发工具2.1 FPGA 原理FPGA( Field Programmable Gate Array ),即现场可编程门阵列,它是在 PAL 、GAL 、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC )领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。PIIOB图 2.1 FPGA 的基本结构目前以硬件描述语言( Verilog或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至FPGA 上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip flop )或者其他更加完整的记忆块。FPGA 采用了逻辑单元阵列LCA( Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(InputOutput Block )和内部连线( Interconnect)三个部分,如图2.1 。 FPGA的基本特点主要有:1. 采用 FPGA设计 ASIC电路,用户不需要投片生产,就能得到合用的芯片。2.FPGA可做其它全定制或半定制ASIC 电路的中试样片。3.FPGA内部有丰富的触发器和I O引脚。4.FPGA是 ASIC 电路中设计周期最短、开发费用最低、风险最小的器件之一。5.FPGA采用高速 CHMOS工艺,功耗低,可以与CMOS、TTL 电平兼容。可以说, FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目3南昌航空大学科技学院2011 届学士学位论文前 FPGA的品种很多,有XILINX 公司的 Virtex系列、 TI 公司的 TPC系列、 ALTERA公司的 Stratix系列等。FPGA 是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的 RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时, FPGA芯片将 EPROM中数据读入片内编程 RAM中,配置完成后, FPGA进入工作状态。掉电后, FPGA恢复成白片,内部逻辑关系消失,因此, FPGA能够反复使用。FPGA的编程无须专用的 FPGA编程器,只须用通用的 EPROM、 PROM编程器即可。当需要修改 FPGA功能时,只需换一片 EPROM即可。这样,同一片 FPGA,不同的编程数据,可以产生不同的电路功能。因此, FPGA的使用非常灵活。FPGA 提供了一种 “自顶向下 的全新的设计方法。这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计,在图表级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利予早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。2.2 Quartus 及开发语言Quartus II是 Altera公司的综合性PLD 开发软件,支持原理图、VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器, 可以完成从设计输入到硬件配置的完整PLD设计流程。一、 VHDL 语言的发展历史本次毕业设计就是应用VHDL语言,是一种用于数字电路设计的高级语言。 VHDL全名是 Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于 1982 年。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。自 IEEE 公布了 VHDL的标准版本, IEEE-1076(简称 87 版) 之后,各 EDA公司相继推出了自己的 VHDL设计环境,或宣布自己的设计工具可以和 VHDL接口。此后 VHDL在电子设计领域得到了广泛的认可,并逐步取代了原有的非标准的硬件描述语言。 1993 年,IEEE 对 VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展 VHDL的内容,公布了新版本的 VHDL,即 IEEE 标准的 1076-1993 版本,4南昌航空大学科技学院2011 届学士学位论文(简称 93 版)。现在, VHDL和 Verilog作为 IEEE 的工业标准硬件描述语言,又得到众多 EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中, VHDL与 Verilog语言将承担起大部分的数字系统设计任务。 VHDL语言是一种用于电路设计的高级语言。它在80 年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言,它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。二、 Quartus II 下的 FPGA 设计Quartus II为硬件电路的设计提供了很大的方便。FPGA之所以能够广泛应用于电路设计中,就是因为它们有使用相当方便的开发软件工具包,通过编程工具和软件的配合使用,对器件芯片可以进行流畅的开发、校验。FPGA特别适用于正向设计,即从电路原理图或各种硬件描述语言到芯片成品的设计,在这些设计流程中,设计人员对特别底层的硬件知识并不需要特别的了解和掌握,完全可以从功能应用出发,根据任务需求,自顶向下地进行电路设计。下面我们来看看FPGA的设计流程, Quartus II环境下的 FPGA设计过程主要包括5 个步骤:设计输入运用电路原理图输入、HDL 文本输入等方式,表达设计思想,指定所用HIGA 器件的型号,分配其外部管脚。综合将原理图、 HDL语言等设计输入翻译成由基本电路逻辑单元组成的连接网表,供布局布线器进行实现。布局布线根据连接网表文件,进行布局布线的分析和优化,完成FPGA器件内、外的虚拟连接。仿真仿真分为功能仿真和时序仿真。功能仿真验证电路功能是否符合设计要求;时序仿真包含了延时信息,能较好反映芯片的工作情况。编程和配置成功编译后,将生成的编程文件下载到目标FPGA系统中调试;调试成功后,5南昌航空大学科技学院2011 届学士学位论文烧写到 FPGA的配置芯片中。建立项目文件建立设计文件原理图输入文本输入有错编译综合无错有错软件仿真无错选芯片,映射管脚无错有错编译下载实验成功图 2.2 软件开发流程三、Quartus的仿真仿真分为功能仿真和时序仿真。通常,功能仿真用于验证电路的功能是否正确。本次设计主要是在quartus软件中进行功能仿真验证。仿真的一般步骤如下: 新建波形编辑文件; 设置仿真时间区域; 保存文件; 导入欲观察的信号节点; 编辑激励信号;6南昌航空大学科技学院2011 届学士学位论文 设置数据格式; 设置仿真器参数; 启动仿真和观察仿真结果。3 曼彻斯特编码原理7南昌航空大学科技学院2011 届学士学位论文3.1 1553B 数据总线数据总线是为不同的系统之间提供数据和信息交换的媒介,这就类似于个人计算机和办公自动化系统之间局域网式的互连, MIL-STD-1553B 总线协议是在 1978年诞生于美国国防部的,它早前作为的是一种定义了数据总线电气和协议特性的军事标准。一、1553B 的历史发展与应用MIL-STD-1553B 是一种在航空电子系统中广泛运用的总线协议,它的全称是飞机内部时分命令多路响应数据总线,它的发展可以追溯到1968年。美国政府军事部门经过三年的修订,于1973年 8月公布了 MIL-STD-1553 ,这个内部标准的第一个使用者就是F-16战斗机。而美国国防部 1975年 4月 30日所发布的 MIL-STD-1553A就是在此基础上不断发展衍变而来,并为 F-16战斗机和 AH-64AApache直升机所首先运用。在此之后,通过一系列现实操作所积累起来的经验,在1553A 的基础上, SAE 又加入了许多定义和额外的应用能力于其中,这就是1553B 标准协议,它于 1978年 9月 21日由 SAE 公布,一直沿用至今。不过 1553B 也在不断进行着一些改动。为了将该标准仅仅应用于空军系统, 1980年美国空军曾经对 1553B 标准的应用选择实施了诸多限制,但是工业界却普遍认为这样的做法大大低估了1553B 的应用能力, 它应该拥有更广泛的使用权限。现在的 MIL-STD-1553B 在军用航空电子系统中应用范围非常广泛,比如卫星通信系统、国际空间站的空间地址探寻、大规模交通控制、航空燃料补给等等,甚至包括在了一系列的发射器和服务器中,比如飞行器和发射器的基本接口。除此以外,海军的舰艇、潜水艇、陆军的直升机和坦克,这些都用到了 1553B 总线协议;对商业领域来说,地铁、产品制造生产线也已运用到了该标准协议。二、 1553B 数据总线规则1553B 总线上的信息是以消息(Message)的形式调制成曼彻斯特码进行传输的。每条消息最长由 32 个字组成,所有的字分为三类:命令字、数据字和状态字。每类字的长度为20 位,有效信息位是16 位,每个字的前3 位为单字的同步字头,而最后1 位是奇偶校验位。有效信息(16位 )及奇偶校验位在总线上以曼彻斯特码的形式进行传输,传输一位的时间为1 S(即码速率为1MHz) 。同步字头占3 位,先正后负为命令字和状态字,先负后正为数据字。在这三种类型的字中,命令字位于每条消息的起始部分,其内容规定了该次传输的具体要求。1553B 总线曼彻斯特码编码器的主要功能就是把来自外部的并行二进制数据转化为1553B 总线上传输的串行信息,并且对这些串行数据进行曼彻斯特码编码,再加上同步头和奇偶校验码,使之成为能够以1553B 总线协议所要求的格式在总线中传输的数据。0-2 位中间 3-18 位数据位19 位8南昌航空大学科技学院2011 届学士学位论文前三位同步字头中间 16 位数据位最后第 20 位奇偶校验位图 3.1 1553B 的数据格式三、1553B 总线的传输1553B 总线能挂 31 个远置终端, 1553B 总线采用指令 / 响应型通信协议,它有三种终端类型:总线控制器( BC)、远程终端( RT)和总线监视器( BM);信息格式有 BC到 RT、RT到 BC、RT到 RT、广播方式和系统控制方式;传输媒介为屏蔽双绞线, 1553B 总线耦合方式有直接耦合和变压器耦合; 1553B 总线为多冗余度总线型拓扑结构,具有双向传输特性,其传输速度为 1Mbps传输方式为半双工方式,采用曼彻斯特码进行编码传输。采用这种编码方式是因为适用于变压器耦合,由于直接耦合不利于终端故障隔离,会因为一个终端故障而造成整个总线网络的完全瘫痪,所以其协议中明确指出不推荐使用直接耦合方式。状态字只能由RT发出,它的内容代表RT对 BC发出的有效命令的反馈。BC可以根据状态字的内容来决定下一步采取什么样的操作。数据字既可以由BC 传输到某 RT,也可以从某 RT传输至 BC,或者从某 RT传输到另一 RT,它的内容代表传输的数据。1553B 总线上消息传输的过程是:总线控制器向某一终端发布一个接收/发送指令,终端在给定的响应时间范围内发回一个状态字并执行消息的接收/发送。 BC 通过验收RT 回答的状态字来检验传输是否成功并做后续的操作。消息是构成1553B 总线通讯的基本单位,如果需要完成一定的功能,就要将多个消息组织起来,形成一个新的结构叫做帧(Frame)。完成一个消息的时间称为消息时间,两个消息之间的间隔称为消息间隔时间,完成一个帧的时间称为帧时间。在实际应用中这三种时间都是可以通过编程设置的。3.2曼彻斯特编码原理曼彻斯特编码,也叫做相位编码(PE),是一个同步时钟编码技术,被物理层使用来编码一个同步位流的时钟和数据。在曼彻斯特编码中,用电压跳变的相位不同来区分1 和 0,即用正的电压跳变表示0,用负的电压跳变表示1。因此, 这种编码也称为相应编码。由于跳变都发生在每一个码元的中间,接收端可以方便地利用它作为位同步时钟,这种编码也称为自同步编码。曼彻斯特编码电平跳变的规则是:低电平的中间时刻跳变表示 0,用高电平中间时刻的跳变表示 1,如下图3.2 所示。因而这样防止时钟同步的丢失,或来自低频率位移在贫乏补偿的模拟链接位错误。在这个技术下,实际上的二进制数据被传输通过这个电缆,不是作为一个9南昌航空大学科技学院2011 届学士学位论文序列的逻辑1 或 0 来发送的。它具有自同步能力和良好的抗干扰性能。但每一个码元都被调成两个电平,所以数据传输速率只有调制速率的1/2。上跳为 0下跳为 1图 3.2 曼彻斯特编码下面是一段数据串行信号1000100111 ,在上图中可以看出曼彻斯特编码信号的跳变都发生在中间时刻,它按照曼彻斯特的编码规则,可以表示1001100111 。如下图3.3 所示。图 3.3信号的曼彻斯特编码4 系统总体设计4.1 系统设计要求本课题的设计要求和技术指标:1MIL-STD-1553B总线上的数据以双相曼彻斯特编码的方式传输。2编码器把来自外部的并行二进制数据转化为串行信息。3. 对串行数据进行曼彻斯特码编码,再加上同步头和奇偶效验位。4. 能够以 1553B 总线协议所要求的格式在总线中进行传输。本毕设毕业圆满的完成了上述任务。4.2系统设计思路根据系统的设计要求,需要解决外部数据的并串转换和数据编码问题,而曼彻斯特编码器是本文的设计重点,思路如下:在每个周期的开头加同步字头,数据输入时, 输入时间将持续16个编码周期, 若输入的数据10南昌航空大学科技学院2011 届学士学位论文信元为 “1,”编码就通过输出一个下降沿来表示,当输入数据为“0时”,编码则输出一个上升沿。数据位输入结束后,编码器将对输入的数据信元进行奇偶校检,如果在输入的数据信元中“1”的个数为奇数, 编码器输出一个上跳变电平,反之若数据信元中“1”个数为偶数则输出一个下的跳变电平,如图4.1所示。检 查 周生 成 同码 型 转移位及奇期步字头换偶校验图 4.1 编码器设计思路4.3系统设计框图根据上述系统的设计要求,系统主要由两大模块组成:并串转换器、曼彻斯特编码器。在系统外部由 16 位并行信号线供给, 经过系统的两个模块后输出曼彻斯特编码信号。 在信号的编码方面本设计经过很多次修改,编码没有信号的丢失,并且奇偶校验位的数据匹配,完全满足系统设计的四点要求 ,系统框图如 4.2 所示。并行数据输入曼 彻系统数据输出端并 串转 换斯 特模 块编 码FPGA 系统图 4.2系统原理框图11南昌航空大学科技学院2011 届学士学位论文5 系统模块设计5.1并串转换器的设计串并转换主要工作是将 16位并行数据转换为曼彻斯特能够编码的串行数据。它主要由时钟信号、转换使能信号控制。当 sl信号高电平时就开始输出当前十六位并行数据。它的流程图如图 5.1所示。开始否判断 Sl 由低是变高电平储存当前输入数据是移 16 位完成否12南昌航空大学科技学院2011 届学士学位论文否判断结束移位否是判断结束是结束图 5.1 并串转换流程图程序代码如下:library ieee;entity p_to_s isport(sl,clkl:in std_logic;datain:in std_logic_vector(15 downto 0);q:out std_logic);end p_to_s;architecture behav of p_to_s issignal tmpreg:std_logic_vector(15 downto 0);beginq=tmpreg(15);process(sl,clkl)beginif(clklevent and clkl=1)thenif(sl=0)thentmpreg=datain;elsefor i in 15 downto 1 looptmpreg(i)=tmpreg(i-1);end loop;13南昌航空大学科技学院2011 届学士学位论文tmpreg(0)=0;end if;end if;end process;end behav;在 quartus中生成相应的并串转换模块如图5.2 所示。端口有时钟信号、并串转换使能信号、 16 位并行数据输入端和一位串行数据输出端。图 5.2 并串转换器图5.2曼彻斯特编码器设计曼码编码过程可分为三部分:1) 检测编码周期是否开始,产生同步字头;2)进行输入数据的曼彻斯特编码3) 产生奇偶校验位并对其进行编码,编码周期结束。编码器的设计流程如图 5.3 所示: 当使能信号为高电平时,编码周期开始,当同步选择信号 SS 为“ 1”,输出信号为命令同步,若为“ 0”,表示输出信号为数据同步,当输入数据使能信号 send 为“ 1”时,表明允许数据输入,输入时间将持续 16 个编码周期, 若输入的数据信元 din 为“ 1”,编码就通过输出一个下降沿来表示, 当输入数据为“ 0”时,编码则输出一个上升沿。数据位输入结束后,编码器将对输入的数据信元进行奇偶校检,如果在输入的数据信元中“ 1”的个数为奇数,编码器输出一个上升沿,反之若数据信元中“ 1”的个数为偶数则输出一个下降沿。开始1判断 ss0命令同步数据同步1判断 din014南昌航空大学科技学院2011 届学士学位论文上升沿下降沿奇数1 的个数偶数上升沿下降沿否判断结束是结束图 5.3曼彻斯特编码流程上述的流程图中ss 为同步选择信号,din 为数据输入信号。曼彻斯特编码器的代码如下:LIBRARY IEEE;Entity encode iSport(rst :in std_logic;-复位信号clk :in std_logic;-基准时钟信号ss : in std_logic;-同步选择信号In_en: in std_logic;-输入选择信号Date_in: in std_logic;-编码信元输入端Date_out:out std_logic;en_out: buffer std_logic);-编码信元输出端end encode;Architecture behave of encode isSignal tem_register: std_logic_vector(5 downto 0);Signal counter:std_logic_vector(5 downto 0);Signal in_counter: std_logic;Signal bit_temp: std_logic;15南昌航空大学科技学院2011 届学士学位论文Signal odd_bit: std_logic;-奇偶校检信号BeginDate_out=tem_register(5);process(rst,clk)beginif rst=0thentem_register=000000;counter=000000;in_counter=0;odd_bit =0;bit_temp=0;en_out=0;-各信号初始化elsif(clkevent and clk =1)thenif in_en=1thenif counter=000000 thenen_out=0;if ss=1 thentem_register=111000;-命令同步字产生elsetem_register=000111;-数据同步字产生end if;elsif counter=100110thenif odd_bit=1thentem_register(5)=0;elsetem_register(5)=1;end if;elsif counter=100111 thenif odd_bit=1thentem_register(5)=1;else16南昌航空大学科技学院2011 届学士学位论文tem_register(5)=0;-奇偶校检的产生end if;elsetem_register(5 downto 1)=tem_register(4 downto 0);if in_counter=0thenif date_in=1thentem_register(0)=1;bit_temp=0;odd_bit=not odd_bit;elsetem_register(0)=0;bit_temp=1;- 对输入数据进行编码end if;elsetem_register(0) = bit_temp;end if;in_counter=not in_counter;end if;counter=counter+1;if counter=101000thencounter=000000;odd_bit =0;en_out=1;end if;end if;end if;end process;end behave;17南昌航空大学科技学院2011 届学士学位论文在 quartus 中生成相应的曼彻斯特编码器模块。输入端口有时钟信号、复位信号、输入使能信号、串行输入信号端、和编码信号输出端及输出使能信号。图 5.4 曼彻斯特编码器6 系统仿真及结果分析6.1并串转换器仿真及结果分析打开 Quartus 软件,新建一个工程为encode ,如图 6.1 所示。18南昌航空大学科技学院2011 届学士学位论文
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 管理文书 > 各类标准


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!