基于FPGA的数控三相调压电路仿真设计

上传人:dream****gning 文档编号:54094969 上传时间:2022-02-12 格式:DOCX 页数:44 大小:7.93MB
返回 下载 相关 举报
基于FPGA的数控三相调压电路仿真设计_第1页
第1页 / 共44页
基于FPGA的数控三相调压电路仿真设计_第2页
第2页 / 共44页
基于FPGA的数控三相调压电路仿真设计_第3页
第3页 / 共44页
点击查看更多>>
资源描述
摘 要交流调压电路广泛应用于灯光控制及异步电机软启动,也用于异步电机调速。在供用电系统中,这种电路还用于对无功功率的连续调节。随着EDA技术的发展,许多电子产品的设计都采用了FPGA器件。在FPGA的设计中,由于VHDL语言具有很好的行为描述和系统描述性能、语言易读特征、层次化设计等优点而得到了广泛的应用。论文详细分析了晶闸管三相三线制调压电路的工作原理,给出了晶闸管的导通顺序和触发脉冲的规则。以实验室EDA/SOPC为实验开发平台,以FPGA芯片EP2C5Q208C8作为控制核心,设计了脉冲产生各个功能模块,得到了两种方案的顶层设计仿真模块电路,并对其进行了仿真验证。论文还设计了稳压电源、同步信号提取电路、输入输出隔离电路,搭建了单相半波实验验证电路,获得了不同触发角的脉冲波形和输出电压波形。仿真和实验结果表明了设计的合理性和正确性,所设计的电路能够实现连续调压的功能。关键词:FPGA,VHDL,晶闸管,触发角AbstractAc voltage regulation circuit are widely used in lighting control and asynchronous motor soft start, also used for asynchronous motor speed. In the power supply system, this circuit is also used in on reactive power continuous adjustment. With the development of the technology of EDA, many electronic product design are adopted FPGA device. In the design of the FPGA, because VHDL language has the very good behavior description and system performance, easy to read description language characteristics, the hierarchical design of advantage and a wide range of applications.Based on FPGA design EP2C5Q208C8 chip as control chip, taking three-phase synchronous extraction signal to trigger the benchmark, by changing the thyristor trigger to exchange the pressure Angle of purpose. The experimental results show that using the FPGA chip control thyristor conduction, which is easy to carry out, circuit structure compact, high control accuracy and strong anti-interference ability, etc.KEY WORDS:FPGA,VHDL,thyristor, triggering Angle目录第1章 前 言31.1 三相调压器仿真电路设计的意义31.2 系统的原理及功能3第2章 EDASOPC实验开发平台的介绍4第3章 FPGA的介绍5第4章 三相交流调压电路的触发原理64.1 晶闸管的工作原理74.2 晶闸管对触发电路的基本要求74.3 三相无中线调压电路的工作原理7第5章 三相同步信号的提取85.1 同步信号的初步提取85.1.1 电压比较器的选取85.1.2 直流稳压电源的设计85.2 输出隔离的设计95.3 同步提取电路的设计9第6章 触发脉冲的FPGA设计106.1 脉冲产生模块的设计106.1.1 移相控制脉冲的选取106.1.2 单脉冲产生模块106.2 脉冲扩展模块的设计146.3 脉冲分配模块的设计156.4 脉冲调制模块的设计156.5 时钟分频模块的设计156.6 系统总体的设计16第7章 实验结果及验证20致 谢22参考文献231前 言1.1 三相调压器仿真电路设计的意义交流调压电路广泛运用于工业加热、灯光控制(如调光台灯和舞台灯光的控制)、异步电机调速及软启动、电解电镀的交流侧调压。在供用电系统中,这种电路还用于对无功功率的连续调节。此外,在高电压小电流或低电压大电流的直流电源中,也常采用交流调压电路在变压器一次侧调压,而变压器二次侧用二极管整流。这样的电路体积小,成本低,易于制造。微电子技术与电力电子技术的结合,信息电子技术已经融入电力电子技术领域形成一个整体,计算机控制技术已经在电力电子技术中生根、开花、结果。现在电力电子装置的控制不仅依赖硬件电路,而且可以利用软件编程,既方便又灵活,使各种新颖、复杂的控制策略和方案得以实现。在交流调压电路中,触发控制电路是核心。触发电路的稳定性、可靠性直接关系到整个变换性能的好坏。传统的晶闸管触发电路是以分立元件构成的模拟触发器为主。由于元件参数的分散性和零点漂移等因素,触发脉冲的对称性较差。采用Altera公司高性能FPGA芯片EP2C5Q208C8,本文设计了三相无中线调压电路的晶闸管触发控制电路。在QUARTUS 软件的支持下,采用文本输入和原理图相结合的方式有效地利用了FPGA芯片的资源,在占用很少资源的情况下实现了输出脉冲对称性、响应快、精度高、稳定性好、易调试的触发控制电路,克服了传统电路对称性差的缺点,具有明显的优势。1.2 系统的原理及功能系统要实现的功能是输出六路同步双窄脉冲列,以此来控制晶闸管的导通,从而实现晶闸管电路的输出调压控制。基于FPGA的三相调压电路的总体原理框图如图1-1所示。晶闸管负载变压器三相电压隔离驱动电路 光耦隔离电路时钟FPGA控制电路同步提取电路图1-1 基于FPGA的三相调压电路总体框图在系统的总体框图中,FPGA控制电路是核心,也是设计实现的重点。同步提取电路是实现精准触发的前提,也是移相控制的保证。光耦隔离电路是要实现模拟与数字的隔离,用于保护FPGA芯片。时钟模块为EDA实验箱提供的高精度数字时钟。系统设计的原理是利用FPGA芯片的可编程性,实现晶闸管的精准触发,电路结构简单,易于操作。2 EDASOPC实验开发平台介绍SOPC-NIOS EDA/SOPC实验开发系统是根据现代电子发展的方向,集EDA和SOPC系统开发为一体的综合性实验开发系统,是电子设计和电子项目开发的理想工具。整个开发系统由核心板、SOPC开发平台和扩展板构成,根据用户不同的需求配置成不同的开发系统。EP2C5核心板为基于Altera CycloneII器件的嵌入式系统开发提供了一个很好的硬件平台,它可以为开发人员提供以下资源:1主芯片采用Altera CycloneII器件EP2C5Q208C8;2EPCS1I8配置芯片;3标准AS编程接口和JTAG调试接口;450MHz高精度时钟源;5三个高密度扩展接口;6系统上电复位电路;7支持+5V直接输入,板上电源管理模块。图2-1为核心板EP2C5模块组成图。图2-1 核心板EP2C5模块组成图EDA/SOPC实验开发平台提供了丰富的资源供学生或开发人员学习使用,资源包括接口通信、控制、存储、数据转换以及人机交互显示等几大模块,接口通信模块包括SPI接口、IIC接口、VGA接口、RS232接口、网络接口、USB接口、PS2键盘/鼠标接口、1Wire接口等;控制模块包括直流电机、步进电机和交通灯的控制模块等;存储模块包括EEPROM存储器模块等;数据转换模块包括串行ADC、 DAC、高速并行ADC、DAC以及音频CODE等;人机交互显示模块包括8个按键、12个拨动开关、12个LED发光二极管显示、44键盘阵列、128240图形点阵LCD、8位动态7段码管、1616点阵、实时时钟等;另外平台上还提供了一个简易模拟信号源和多路时钟模块。Altera公司的QuartusII软件提供了可编程片上系统(SOPC)设计的一个综合开发环境,是进行SOPC设计的基础。QuartusII集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证与仿真。QuartusII设计软件根据设计者需要提供了一个完整的多平台开发环境,它包含整个FPGA和CPLD设计阶段的解决方案。图2-2说明了QuartusII软件的开发流程。图2-2 QuartusII软件的开发流程此外,QuartusII软件允许用户在设计流程的每个阶段使用QuartusII图形用户界面、EDA工具界面或命令行界面。在整个设计流程中可以使用这些界面中的一个,也可以在不同的设计阶段使用不同的界面。QuartusII软件支持VHDL和Verilog硬件描述语言(HDL)的设计输入、基于图形的设计输入方式以及集成系统设计工具。QuartusII软件可以将设计、综合、布局和布线以及系统的验证全部整合到一个无缝的环境之中。其中还包括第三方EDA工具的接口如MATLAB等。3 FPGA介绍现场可编程门阵列FPGA芯片是Xilinx公司于1985年首家推出的,它是一种新型的高密度PLD,采用CMOS-SRAM工艺制作。FPGA的结构与门阵列PLD不同,其内部由许多可编程逻辑模块组成,逻辑块之间可以灵活的互相连接。FPGA结构一般分为三个部分:可编程逻辑块、可编程I/O模块和可编程内部连线。配置数据放在片内的SRAM或者熔丝图上,基于SRAM的FPGA器件工作前需要从芯片外部加载配置数据。配置数据可以存储在片外的EPROM或者计算机上,设计人员可以控制加载过程,在现场修改器件的逻辑功能,即所谓现场可编程。EDA技术发展大致分为CAD、CAE和EDA阶段。主要特征有:(1)、高层综合的理论与方法取得较大进展,将EDA设计层次由RTL级提高到了系统级,分为逻辑综合和测试综合;(2)、采用硬件描述语言来描述10万门以上的设计,并形成了VHDL和Verilog HDL两种标准硬件描述语言;(3)、采用平面规划技术对逻辑综合和物理版图设计进行联合管理,做到在逻辑综合早期设计阶段就考虑到物理设计信息的影响;(4)、可测性综合设计;(5)、为带有嵌入IP模块的ASIC设计提供软硬件协同系统设计工具;(6)、建立并设计工程CE框架结构的集成化设计环境,以适应当今ASIC的一些特点。EDA自上而下的设计方法的主要特点有:电路设计更趋合理;采用系统早期仿真;降低了硬件电路设计的难度;主要设计文件是用HDL语言编写的源程序。利用HDL语言的系统硬件电路的自上而下设计一般分为三个层次。第一层次为行为描述,它是对整个系统的数学模型的描述;第二层是寄存器传输方式描述,又称数据流描述;第三层是逻辑综合。用VHDL语言开发可编程逻辑电路的完整流程为:(1) 文本编辑。用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。通常VHDL文件保存为.vhd文件,Verilog HDL文件保存为.v文件。(2) 功能仿真。将文件调入HDL仿真软件环境进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只有在布线完成以后,才能进行时序仿真)。(3) 逻辑综合。将源文件调入逻辑综合软件进行综合,即把语言综合成最简单的布尔表达式。逻辑综合软件会生成.edf(EDIF)的EDA工业标准文件。(4) 布线布局。将.edf文件调入FPGA厂商提供的软件中进行布线,即把设计好的逻辑安放到CPLD/FPGA中。(5) 时序仿真。需要利用布局布线中获得的精确参数,用仿真软件验证电路的时序(也叫后仿真)。在FPGA的整个设计流程中,电路的设计、编译和仿真过程结束后,就需要对器件进行编程或配置,最后整个工程进行调试,以实现功能验证和完成最终设计。在设计中,配置方式选择JTAG模式。4 三相交流调压电路的触发原理三相调压电路根据三相连接形式的不同,可以有多种形式,通常有三相四线星式连接、三相三线式连接、三角形连接。本设计主要分析阻性负载的三相三线星形连接的调压电路,如图4-1所示。图4-1 带阻性负载的三相三线调压电路4.1 晶闸管的工作原理晶闸管也称可控硅,属于半控型器件,在工业上广泛用于大功率变换和控制。在其导通时,主电流由阳极流向阴极。晶闸管的门极触发电流是从门极流入晶闸管,从阴极流出。在门极触发电流为零时,如果在器件两端加正向电压,则晶闸管处于正向阻断状态,只有很小的正向漏电流通过。如果正向电压超过临界极限即正向转折电压,则漏电流急剧增大,器件开通。随着门极电流幅值的增大,正向转折电压降低。导通后的晶闸管特性和二极管的正向特性相似。导通期间,如果门极电流为零,并且阳极电流降至接近与零的某一数值以下,则晶闸管又回到正向阻断状态。当晶闸管上施加反向电压时,其伏安特性类似于二极管的反向特性。 使晶闸管导通的情况也有其他几种:阳极电压升高到相当高的数值造成雪崩效应;阳极电压上升率过高;结温较高;光触发。除了光触发可以保证主电路与控制电路之间的良好绝缘而应用与高电压设备之外,其他都因不好控制而难以应用于实践。只有门极触发是最精确、迅速而且可靠的控制手段。晶闸管受到触发后,阳极电流的增长不会瞬间完成,还要经过导通时间后才能完成触发导通。在晶闸管关断过程中,反向恢复过程结束后,晶闸管要恢复其对正向电压的阻断能力还需要一段时间。如果在正向阻断恢复时间内对晶闸管施加正向电压,晶闸管会重新正向导通。晶闸管的主要参数有:额定电压、额定电流、通态平均电压、维持电流、擎住电流、断态电压临界上升率、通态电流临界上升率、门极触发电压和门极触发电流、恒定结温等。在实际中选择晶闸管的额定电压时,通常是原件在实际工作电路中可能承受到的最大峰值电压的23倍。4.2 晶闸管对触发电路的基本要求控制晶闸管导通的电路称为触发电路。为了减少门极损耗,确保触发时刻的准确性,触发信号常采用脉冲形式。晶闸管对触发电路的基本要求有:(1)、触发信号要有足够的功率;(2)、触发脉冲必须与主回路电源电压保持同步;(3)、触发脉冲要有一定的宽度,前沿要陡;(4)、触发脉冲的移相范围应能满足主电路的要求;(5)、有良好的抗干扰性能、温度稳定性及主电路的电气隔离。4.3 三相无中线调压电路的工作原理如图4-1所示,在任一相导通时必须和另一相构成回路,因此和三相全桥相控整流电路一样,电流流通路径中有两个晶闸管,所以应采取双脉冲或宽脉冲。三相的触发脉冲应依次相差120,同一相的两个反并联晶闸管触发脉冲应相差180。因此,和三相桥式全控整流电路一样,触发脉冲的顺序也是VT1VT6,依次相差60。如果把晶闸管换成二极管后可以看出,相电流和相电压同相位,且相电压过零时二极管导通。因此把相电压过零点定为控制角a的起点。三相三线电路中,两相间导通时是靠线电压导通的,而线电压超前相电压30,因此a角的移相范围是0150。在任意时刻,晶闸管的工作情况如下:可能是三相中各有一个晶闸管导通,这时负载相电压就是电源的相电压;也可能是两相中各有一个晶闸管导通,另一相不导通,这时导通相的负载相电压电源线电压的一半。根据任一时刻导通晶闸管的个数以及半个周期波内电流是否连续可将0150的移相范围分为如下三段:(1) 在060范围内,电路处于三个晶闸管导通和两个晶闸管导通的交替状态,每个晶闸管导通的角度是180- a。但a=0时是一种特殊状况,一直是三个晶闸管导通。(2) 在6090范围内,任一时刻都是两个晶闸管导通,每个晶闸管的导通角为120。(3) 在90150范围内,电路处于两个晶闸管导通和无晶闸管导通的交替状态,每个晶闸管导通的角度为300-2a,而且这个导通角度被分割为不连续的两部分,在半波内形成两个断续的波头,各占150-a。综上所述,在设计相控三相调压电路的触发脉冲时,只要遵循晶闸管的导通顺序以及所加补脉冲的规则,就可以设计出合格的触发控制脉冲。5 三相同步信号的提取由第四章知道,要使三相交流调压电路按顺序触发,就必须提取三相同步信号。同步信号是触发电路实现精准触发的前提,也是实现数字化触发的保证。5.1 同步信号的初步提取要实现三相同步信号的初步提取,首先要从电源侧经过三相变压器得到三相相电压信号,然后将相电压信号经过分压和限流处理接入电压比较器,从而可以提取出三相同步信号。提取出的同步信号为三相同步方波,幅度值为12V。5.1.1 电压比较器的选取本设计选取的电压比较器的型号为LM311,可以实现输入电压的过零比较。LM311的内部结构原理图如图 5-1所示。图 5-1 芯片LM311的内部结构原理图在设计中,LM311芯片的平衡/选能端口悬空,VCC接+12V电源,VEE接-12V电源。5.1.2 直流稳压电源的设计要得到过零比较电路,还必须要有输出为12V的直流稳压电源,图5-2为本设计所需的直流稳压电源的电路原理图。图 5-2 输出为12V的稳压电源通常情况下,直流稳压电源包括四部分,它们分别为电源变压器,整流电路,滤波电路,稳压电路。在电源变压器部分,采用的是输出为15V/8W的三抽头变压器。整流电路为桥式全波整流,所选用的整流二极管的型号为IN4007,其反向耐压值大于15V。滤波电路为两个1000uF的电容,它们的耐压值为50V。两个1000uF电容的作用是将整流电路输出的单向脉动直流电压进行滤波处理,滤除或抑制其中的交流成分,使其变得平滑便于后续处理。稳压电路由两个三端稳压器和电容组成。三端稳压器的型号为LM7812和LM7912,输出分别为+12V和-12V。接在稳压器两端的电容为0.1uF的瓷片电容和100uF的电解电容。其中,接在稳压器前端的C5、C6的作用是消除输入端的电感效应,防止自激震荡,同时可抑制高频信号的干扰。接在稳压器后端的C7、C8可改善负载端的瞬态响应,同时也可抑制高频信号的干扰。100uF的电容用于减少低频信号的干扰。5.2 输出隔离的设计同步信号的提取完成后,要输入到FPGA芯片,此时不能直接输入,还必须要经过电压隔离电路,将数字端与模拟端隔离开。设计采取光耦隔离,所用芯片型号为TLP521。图5-3即为光耦的内部电路原理图。图 5-3 光耦TLP521由于光耦是以光为介质来传输电信号器件,它的输入端为红外线发光二极管,输出端为光敏半导体管,所以可以很好地实现电压隔离。5.3 同步提取电路的设计经过降压处理的三相相电压信号,通过电压比较器进行过零比较,就可以得到三相同步方波,再进过光耦的电压隔离,就可以输入到FPGA芯片了。同步提取电路原理图如图5-4所示。图 5-4 同步提取电路原理图以A相为例,降压处理后的相电压信号,首先要经过电阻分压,然后才能输入到电压比较器,这样可以使输入电压的幅值限制在12V之间,以便电压比较器的正常工作。在电压比较器的输入端口,加入限幅措施,避免其进入深度饱和区。由于LM311的集电极开路,所以要接上拉电阻,设计采用4.7K的上拉电阻。电压比较器输出的12V的同步方波给了光耦的输入信号,光耦的输出信号幅值在3.3V左右,可以直接加入FPGA芯片。同时,光耦的输出端与输入端信号反相,所以在接入FPGA后,还必须经过一个非门。上述电路为A相电源的正负过零信号A+和A-,同理一共可得到三相电源的六路同步信号。6 触发脉冲的FPGA设计由第四章知,可控硅触发脉冲的顺序为VT1VT6,而且依次相隔60。触发所需要的脉冲一般为宽脉冲或者双窄脉冲,设计采用双窄脉冲,脉冲间隔60,脉冲宽度取工频电角度18。整个系统需要五大模块,系统原理框图如图6-1所示。时钟分频模块系统时钟脉冲调制模块脉冲分配模块脉冲扩展模块脉冲产生模块同步信号 输出 移相控制信号 图6-1 系统原理框图6.1 脉冲产生模块的设计6.1.1 移相控制脉冲的选取在FPGA中,脉冲列的移相控制需要外加一个频率连续可调的脉冲信号,一般情况下采用V/F转换器,即用电压控制方波频率的变化。在设计中,采用EDA实验平台中频率和幅度均可调节的方波信号,其频率变化范围为5KHz5Hz,幅度变化范围为3.3V0V。在实际运用中,将脉冲方波的幅度调到最大,再用FPGA扩展接口的5V电压进行电压放大,就可以加到FPGA芯片中。6.1.2 单脉冲产生模块单脉冲产生的实现可以有两种方案,具体如下;方案一 方案一的系统原理框图如图6-2所示。 移相加法计数器qcnt ff qcnt clka qcnt:=0 count=1 输出脉冲计数器 count din:=qcnt+1 clk count=din co 输出 图 6-2 方案一的系统原理框图在方案一的系统原理框图中,ff为移相控制信号,clka为同步提取信号,clk为FPGA 系统时钟经过二十分频得来,为5KHz。在这里,以A相电源的正过零同步信号clka为例。方案一的移相原理是:通过移相控制电路给输出脉冲计数器一个计数长度,该计数长度就是对应的移相角。ff的频率越高,计数长度就越长,移相角就越大;ff的频率越低,计数长度就越短,移相角就越小。方案一的实现方法是:在同步信号clka为高电平,时钟clk的第一个上升沿到来时,将移位脉冲数din置入输出脉冲计数器count。移位脉冲数din的获取是在clka为低电平时,以ff为计数脉冲的计数器计数而得到。当输出脉冲计数器count计到din时,输出一个时钟周期(clk)的脉冲。具体实现步骤如下:当clka为低电平时,以ff的上升沿为计数脉冲,移相加法计数器qcnt开始计数。其最大计数长度为50。当clka为高电平时,停止计数,计数器qcnt保持。当clka为高电平时,以时钟clk的上升沿为计数脉冲,输出脉冲计数器开始计数。当计数器count的计数值为1时,把qcnt+1的值给din,同时将计数器qcnt清零。当count的计数值计到din时,co输出一个clk周期的脉冲。当clka为低电平时,计数器count清零,同时,在ff的上升沿到来后,计数器qcnt以ff为计数脉冲开始从零开始计数。 在FPGA设计中,方案一产生的子模块如图6-3所示。图6-3 方案一的子模块该子模块所建的工程名为jskzq,它输入端为ff、clka、clk,输出端为co,数据类型均为位。clk选取的是5KHz,所以输出脉冲的长度为0.2Ms。图6-4、图6-5、图6-6为触发角为0、30、60的时序仿真结果;图6-4 触发角为0的时序结果图6-5 触发角为30的时序结果图6-6 触发角度为60的时序结果 从时序仿真图可以看出,随着移相控制信号ff的频率增大,在clka为高电平时,co输出与同步信号clka上升沿的时间差也随之增大,这样就实现了输出的移相控制。方案二方案二的系统原理框图如6-7所示。 T触发器 clka en T=1 cr 移相加法计数器qcnt ff 输出co 图6-7 方案二的系统原理框图在方案二的系统原理框图中,clka为同步信号,T加高电平(T=1),ff为移相控制信号。en为移相加法计数器的使能控制端,高电平有效;cr为T触发器的清零端,高电平有效。同样以A相电源的正过零同步信号clka为例。方案二的移相原理是:在设定的计数长度内,移相控制信号ff的频率越高,则计数时间就越短,移相角就越小;反之,ff的频率越小,则计数时间就越长,移相角就越大。方案二的实现方法是: 将移相加法计数器的计数长度设为50,在clka的下降沿到来后,计数器以ff的上升沿为计数脉冲开始计数。计数器计到50时,输出一个脉冲,同时计数器清零。由于ff的最高频率为5KHz,周期为0.2Ms,同步信号周期为20Ms,半个周期为10Ms,要使输出脉冲的移相从0开始,就要将移相加法计数器的计数长度设为10Ms/0.2Ms =50。具体步骤如下:当en=1时,以ff的上升沿为计数脉冲,移相加法计数器qcnt开始计数;计到50时,输出一个脉冲周期,同时qcnt清零,cr由0变为1。当cr=1时,en由1变为0,同时cr从1变为0。当cr=0时,如果clka的下降沿到来,则en由0翻转为1,移相加法计数器qcnt开始工作。方案二产生的子模块如图6-8所示;图6-8 方案二的子模块该子模块的工程名为yixiang,ff、clka为输入端口,co为输出端口,数据类型均为位。输出脉冲的长度为控制脉冲ff的周期。图6-9、图6-10、图6-11为触发角为0、30、60的时序仿真结果; 图6-9 触发角为0的时序结果 图6-10 触发角为30的时序结果 图6-11 触发角度为60的时序结果从上述的时序图可以看出,在同步信号clka为高电平时,移相控制信号ff的减小,输出co的移相角就随之增大,这样就实现了输出的移相控制。6.2 脉冲扩展模块的设计 图6-12 为脉冲扩展的系统原理框图。计数控制 clka en cr 加法计数器 clk 输出 图6-12 脉冲扩展系统原理框图单脉冲产生模块输出的脉冲周期一般情况下不够工频18,所以要对其进行展宽。展宽的目的是使输出在移相角度不变的情况下,扩展为工频电角度18。在图6-12中,clka为单脉冲产生模块输出的单脉冲,clk为系统时钟,取100KHz。实现脉冲扩展的方法是:当单脉冲的上升沿到来后,en跳变为1,加法计数器开始计数。en为加法计数器的使能端,高电平有效。当加法计数器计到100时,cr跳变为1,输出en变为0,加法计数器停止计数,同时计数器清零,cr变为0。cr为计数控制的清零信号,高电平有效。具体步骤如下:当cr=0时,若clka的上升沿到来,则en由0跳变为1。当en=1时,若clk的上升沿到来,则加法计数器开始计数,并以clk的上升沿为计数脉冲;当计数器计到扩展长度时,即记够100,cr由0变为1,计数控制清零,en由1变为0。当en=0时,加法计数器清零,并且停止计数。同时,cr变为0,等待下一个待扩展的单脉冲到来。图6-13即为FPGA设计中的扩展电路子模块;图6-13 扩展电路子模块在扩展电路子模块中,vgin是单脉冲输入端口,clkj为系统时钟。vgout为模块输出端口,输出脉冲长度为工频18。图6-14是扩展子模块的时序仿真图;图6-14 扩展子模块时序仿真图在图6-14中,如果vgin输入一个脉冲,则从vgin的上升沿开始往后的100个计数脉冲里,vgout输出为高电平,这样就实现了对输入的扩展。6.3 脉冲分配模块的设计三相调压电路晶闸管的触发脉冲为双窄脉冲,可以通过加补脉冲的方式形成。三相六路同步信号通过单脉冲产生模块可以形成六路同步窄脉冲。六路窄脉冲依次加到VT1VT6晶闸管上的顺序为:+A,-C,+B,-A,+C,-B。将与该窄脉冲往后相隔60电角度的其他窄脉冲补发到其上,就可以形成双窄脉冲。双窄脉冲的组合方式如表6-1所示。表6-1 双窄脉冲的组合方式双窄脉冲组合方式VT1 +A OR -C VT2 +B OR -C VT3 -A OR +B VT4 -A OR +C VT5 -B OR +C VT6 -B OR +A 在VHDL语言中,双窄脉冲可以用或语句形成。也可以直接调用或门实现。6.4 脉冲调制模块的设计为了减少晶闸管的功耗,延长其使用寿命,就要对晶闸管触发脉冲进行调制。调制的方法是用频率为10KHz、占空比为50的方波信号和触发脉冲信号进行与运算。可以用VHDL语言中的与语句实现,也可以调用与门实现。6.5 时钟分频模块的设计在本设计中,需要两个分频模块,分别为10分频和2分频,并且分频后的时钟占空比都为50。分频的实现就是设计一个计数器,设置其计数长度,然后再计数范围内设置高电平和低电平,就可实现分频。图6-15为10分频模块,图6-16为2分频模块,图6-17为十分频模块的时序仿真图,图6-18为二分频模块的时序仿真图。图6-15 十分频模块图6-16 二分频模块图6-17 十分频模块的时序仿真图图6-18 二分频模块的时序仿真图在设计中,2分频也可用T触发器实现。将T设置为高电平,输入时钟的上升沿到来时输出翻转。在输出的一个周期内,一共有两个输入时钟周期,这样就实现了对时钟的2分频。6.6 系统总体的设计FPGA控制系统要实现的目的就是:输出可控制的同步双窄脉冲列,隔离输出后来控制晶闸管的导通。方案一的顶层设计电路如图6-19所示。图6-19 方案一的顶层设计电路图在方案一的顶层设计中,模块ss是单脉冲产生模块,ee是脉冲展宽模块,uu是补脉冲产生模块,qq是脉冲调制模块,fen_ping是10分频模块,clock_fenping是2分频模块。图6-20、图6-21、图6-22、图6-23、图6-24、图6-25是方案一的顶层模块在触发角为0、30、60、90、120、150的时序仿真图。图6-20 触发角为0的时序仿真图图6-21 触发角为30的时序仿真图图6-22 触发角为60的时序仿真图图6-23 触发角为90的时序仿真图图6-24 触发角为120的时序仿真图图6-25 触发角为150的时序仿真图在方案一中,需要知道触发角与脉冲数的对应关系,把触发角的角度值转化成移位脉冲个数N。在工频50HZ时,电源的一个周期对应时间为T=20ms。如果选择时钟clk1,则周期T1=1/clk1;触发角a对应的时间延迟为:t=Ta/360;触发角对应的移位脉冲数N=t/T1。方案二的顶层设计电路如图6-26所示。图6-26 方案二的顶层设计电路在方案二的顶层设计中,模块zz是单脉冲产生模块,ee是脉冲展宽模块,uu是补脉冲产生模块,qq是脉冲调制模块,fen_ping是10分频模块。图6-27、图6-28、图6-29、图6-30、图6-31、图6-32分别为触发角度为0、30、60、90、120、150的时序仿真图。图6-27 触发角为0的时序仿真图6-28 触发角为30的时序仿真图图6-29 触发角为60的时序仿真图图6-30 触发角为90的时序仿真图图6-31 触发角为120的时序仿真图图6-32 触发角为150的时序仿真图7 实验结果及验证在设计中,晶闸管的驱动是用光耦隔离驱动,对于型号为CR6AM的晶闸管适用。在程序加载完成以后,从示波器窗口就可以看到输出波形了。单相实验控制角波形如图7-1、图7-2、图7-3、图7-4、图7-5所示,它们为控制角为0、30、60、90、120的实验波形。图7-1 控制角为0的实验波形图7-2 控制角为30的实验波形图7-3 控制角为60的实验波形图7-4 控制角为90的实验波形图7-5 控制角为120的实验波形从控制角波形可以直观的看到触发脉冲的相移,从0180连续的变化。用得到的脉冲去触发晶闸管,就可以观测到负载端的波形。图7-6、图7-7、图7-8、图7-9、图7-10为单相半波整流电路的输出波形,其触发角分别为30、60、90、120、150。 图7-6 触发角为30的输出波形图7-7 触发角为60的负载输出波形图7-8 触发角为90的负载输出波形图7-9 触发角为120的负载输出波形图7-10 触发角为150的负载输出波形从上述波形可以看出,在移相角变化时,负载端波形也随之变化。图7-11为单相同步提取电路实物图。图7-11 单相同步提取电路实物图图7-12为单相半波整流电路实物图。图7-12单相半波整流电路实物图致 谢本次毕业设计的顺利完成,是指导老师、同学们和我一起努力的结果,真心的感谢老师及同学的帮助。在设计中,FPGA的设计是个难点,我花了很大的时间去研究,和老师同学们讨论,最终取得了设计成功,我由衷的感到高兴,这也是我大学以来独立完成的第一个有完整意义的实践设计,给我了很大的挑战,也让我磨练了意志。记得我的母亲给我说过,科学的道路上没有平坦的大道,只有不畏艰辛,沿着陡峭山路攀登的人,才有机会到达光辉的顶点。母亲的话语时刻铭记在我的心里,也让我明白了失败并不可怕,可怕的是缺少寻找成功的信念。在设计中,晶闸管电路是我的弱项,在实验的几次失败后,我积极寻找解决方案,请教老师、同学,终于发现了问题的所在,成功的完成了设计的最后一部分。最后,再次感谢我亲爱的老师、同学们,还有给予我精神鼓励的父母亲,你们的鼓励与支持让我终生难忘。参考文献1 廖冬初,聂汉平.电力电子技术M.武汉:华中科技大学出版社,2007年9月.2 蒋渭忠.电力电子技术应用教程M.北京:电子工业出版社,2009.5.3 邢岩,肖曦,王莉娜.电力电子技术基础M.北京:机械工业出版社,2008.10.4 李先允.电力电子技术M.北京:中国电力出版社,2006.5 褚振勇.FPGA设计及应用M.西安:西安电子科技大学出版社,2006.12.6 李鹏飞.电力电子技术与应用M.北京:清华大学出版社,2012.1.7 宋晓梅,杨金涛,荣庆华.基于FPGA的全数字触发器的设计J.西安工程大学学报,2009年8月第4期.8 邵国君.基于FPGA的数字移相触发系统J.微机处理,2008年4月第2期.9 夏长远,舒兴盛,孟月东.基于FPGA的三相可控硅电源数字触发电路的设计J.电测与仪表,2007年第8期.44
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 商业管理 > 销售管理


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!