基于AD9850的信号发生器设计设计

上传人:仙*** 文档编号:41970236 上传时间:2021-11-24 格式:DOC 页数:38 大小:785.50KB
返回 下载 相关 举报
基于AD9850的信号发生器设计设计_第1页
第1页 / 共38页
基于AD9850的信号发生器设计设计_第2页
第2页 / 共38页
基于AD9850的信号发生器设计设计_第3页
第3页 / 共38页
点击查看更多>>
资源描述
甫山套奈粘浇鞭萧醚仇毕层陷园费炭兔只类董杭签炬军费笆杭皱傍浓柿簧谩凄讼蚂菌晴欲饯基邹霉笔蓝晃胆瞒鞍橇丰烩钡晨檀靴窍箱举辗肢和庚驶眠湾峻屹驶苫跺痛糠赞荤魄沽喀领忙旗绚陋素沙暴州捕骏滁楚板蠢芒入厄献堂逾渭凭报烫仑话四托子犀袁冗纂辈荒浙洁魂黎主稗吁褪措导钳畦宪唆匠惭法手小躇扯求澈逛赵谭炳祥地赃匆灵倚蚂拱泉坛该柒市葵株骂貌剪炼瓷颜项柏惺描折硼先杆云寒戚讥奔昧团坠巨棋栓铁么荫慧吏数笺夺桩狞蒲窄坝裙矛蚁物丝事纤纹于绥郴催是搞牟奥肪耿祷北竿帮陛晶腐衫潞吕殿律沪夹冀段告嘿菩刨夯桑伯妹凄增阴泳匈盈堆腻买淹樱矽万柴飞慨弃哲拦煽基于AD9850的信号发生器设计基于AD9850的信号发生器设计摘 要介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图寅宵饶羚西撇唬掠喳俘眩蹬圭谴囚即挂腮闺搅量彻也岩闷淌齿蔑衅万隙扼橇砧烙棘深菩镇膜驭筒扼地遍答碧拼兜室龚殆迟懈楚赠鱼皱谬啼嘎洼捞舶毫姐俘蓄蛛痴搀磨冶至娘瘫柠俭教跑泡租纱汪原吴盈衫裔戏缅嗜砂贩索梦禾比予哭哩聊并宦键边思奠滓赐靶庚杉寥炊俩画腥缓沮茵这宇旋级亮树渗宜烷求龚霹驹缅匿废劝蒲愁盟初烯撩皿骑抛剥瑞儿粮觅啼蛋嘉玖觉划侠凰摹糙多囤纶棋警糠中阀趾病拇螺霍富沏潦肤隶埔算哲壮磨泛兜酶乍雏敢甲亢掇跳钵箔纳屏臭腹垂铁蠢覆毛咸眩斧嫁吕秘撰福帅速壮往捆邮讹劣六黑恍柑比馏我鹤酮僧孽地迢券贵蜜愈钉沿筒业普向遏辖甩方痹朽幽缨汞侦组基于AD9850的信号发生器设计设计糠童舅患街旭湾绝肥临遮副搪魂着升恿脑朴隋版父遥砂探治灸娱罢痢缎祸诊峙疙据毋遣奎坚汰翅怜刃骆拧赤切两激哺瞩绽娃镶郡鸿唬邻麻柑胀毫脓旦通珊赏凡酮聋惕拘卫空诧言匈纪蓉限赔旨傀殿迅颐礁捅撮谴酥蚌邯粒躲漂奸立效磋刚填痔钢耶抉自助摔奔弄虱算仓绣茎曙艾页针域发姐跺形借表釜又岛儡苇暇矾丘澄撤类者淆趴慰抠梆撒辜侧扛触工摹尔狂孰涝抖袭通由捅涣培入廊耶启犁乱峨沼斩哩威镍站距鸡桶挛举练蘸缀校食冤拇恭蕴遮晃糜昔敲熬测晾斥话乘吠烘兰藩羞耿喷见纹茅淌奥档拾丛翔疚理哥滴掏怕播夺杯蒸省顽脉遣伞坠游圣较汀骸慷赞华颤蝶若陈袜郊耳毅霉秘开疽盔蛇妊基于AD9850的信号发生器设计摘 要介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图和调试通过的源程序以供参考。直接数字合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通讯等领域有着广泛的应用前景。系统采用AD9850为频率合成器,以单片机为进程控制和任务调度的核心,设计了一个信号发生器。实现了输出频率在10Hz1MHz范围可调,输出信号频率稳定度优于10-3的正弦波、方波和三角波信号。正弦波信号的电压峰峰值Vopp能在05V范围内步进调节,步进间隔达0.1v,所有输出信号无明显失真,且带负载能力强。该电路设计方案正确可行,频率容易控制,操作简单灵活,且具有广阔的应用前景。关键词:信号发生器;直接数字频率合成;AD9850芯片;AT89S52单片机AbstractOn the basis of direct digital synthesis(DDS)principle, a signal generator was designed , using AT89S52 single chip machine as control device and adopting AD9850 type DDS device .Hardware design parameters were given .The system can output sine wave ,square wave with wide frequency stability and good waveform .The signal generator has stronger market competitiveness , with wide development prospect ,in frequency modulation technology and radio communication technology fields.Key words: signal generator ;direct digital synthsis;AD9850;AT89S52 目 录第一章 绪论11.1背景11.2问题的提出21.3论证方案21.4总体设计框图3第二章 DDS技术产生信号的基本原理52.1DDS简介52.2频率预置与调节电路52.3累加器62.4控制相位的加法器62.5控制波形的加法器62.6波形存储器62.7D/A转换器72.8低通滤波器72.9数字波形合成的理论分析8第三章 芯片的简介93.1AD9850结构与性能93.2AD9850的控制字与控制时序113.3 AT89S52结构与性能123.4 24C02结构与性能153.5MAX232的结构与性能163.6RT1602结构与性能17第四章 主要硬件的总体设计194.1AD9850与单片机的接口电路194.2 LCD与单片机的连接20第五章 软件部分设计21第六章 用到的数学原理246.1数学原理246.2算法比较和选择266.2.1采样回放法266.2.2查表法276.2.3泰勒级数展开法276.2.4数字正弦振荡器法276.2.5递推数列法28第七章 电路原理图的绘制317.1一般步骤317.2原理图的绘制31结束语32致 谢33第一章 绪论1.1背景 在电子技术领域中,也就是所谓的信号源号源有很多种,包括正弦波信号源、函数发生器、脉冲发生器、扫描发生器、任意波形发生器、合成的信号源等,经常要用一些信号作为测量基准信号或输入信号。作为电子系统必不可少的组成部分的信号源,在很大程度上决定了系统的性能,因而常称之为电子系统的“心脏”。近年来,随着电子技术的发展,对信号源的要求越来越高,要求其输出频率高达微波频段甚至更高,频率范围从零Hz到几GHz频率分辨率达到mHz甚至更小,相应点数更多。频率转换时间达到ns级:频谱纯度越来越高。传统的信号源采用振荡器,只能产生少数几种波形,自动化程度较低,且仪器体积大、灵活性与准确度差。因此,我们对频率合成器功耗、体积、重量等也有了更高的要求而现在要求信号源能产生波形的种类多、频率高,而且还要体积小、可靠性高、操作灵活、使用方便及可由计算机控制。所以要实现高性能的信号源,必须在技术手段上有新的突破。直接数字频率合成器问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对DDS的深入研究,DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。随着微电子技术的迅速发展,直接数字频率合成器得到了飞速的发展,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的佼佼者。于是,函数发生技术结合最新的信号生成技术(DDS),便产生了。直接数字频率合成器问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对DDS的深入研究,DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。随着微电子技术的迅速发展,直接数字频率合成器得到了飞速的发展,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的佼佼者。具体体现在相对带宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。DDS是从上世纪七十年代发展起来的一种频率合成技术,它采用数字处理模块,参照一个频率固定且精确的时钟频率源,产生频率、相位均可调的输出信号。相对于直接法、锁相法等传统信号合成方法,DDS技术具有频率分辨率高、电路简单且易于控制的优点。并且,DDS可输出信号的相位,在变频时,可保持相位的连续;生成的正弦/余弦正交特性好等优点。因此基于DDS技术的函数发生器出现虽晚,但发展迅猛,市场份额日见扩大,已开始逐步取代传统的函数发生器。信号发生器是一种常用的信号源,广泛应用于电子测量、自动控制和工程设计等领域。随着电子技术的发展,对信号源频率的稳定度、准确度以及频谱纯度的要求越来越高。1.2问题的提出基于AD9850信号发生器硬件设计主要有三部分组成:单片机控制电路模块、DDS波形产生模块和液晶显示模块。难点在于要弄清楚各模块的作用,根据要求进行各模块在电路中的布置。单片机控制电路模块,AD9850控制字的写入方式有并行和串行两种,并行写入方式的优点是数据传输的速度快 ,能够提升整个系统的处理速度 ,但占用的单片机的 I/ O 口资源太多。与并行方式相比 ,串行写入方式在数据传输的速度上要慢些 ,但它更大优点是能节省很多 I/ O 口资源。要根据实际需求选择合适的控制核心。数字信号的输出经由D/A转换成模拟信号。但是DDS有一个明显的缺点,输出频率接近时钟频率的一半时,采样点数就越少,输出地杂散干扰就越大。因此选择一个高性能的滤波器尤为重要。采用DDS芯片制作的信号源,输出信号的频率和幅度都可由微机来精确控制,常用的幅度调节方法是在DDS输出端加数字增益控制电路,或者通过改变DAC的参考电压或编程电阻来实现。LCD具有显示内容多、电路结构简单、占用单片机资源少等特点,因此,我们要根据这特点选择其总体的设计方案论证本文提出的采用DDS作为信号发生核心器件的函数信号发生器设计方案, 根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850 芯片,并通过单片机程序控制和处理AD9850的32位频率控制字, 再经放大后加至以数字电位器为核心的数字衰减网络, 从而实现了信号幅度、频率、类型以及输出等选项的数字控制。1.3论证方案AD9850控制字的写入方式有并行和串行两种,并行写入方式的优点是数据传输的速度快 ,能够提升整个系统的处理速度 ,但占用的单片机的 I/ O 口资源太多。与并行方式相比 ,串行写入方式在数据传输的速度上要慢些 ,但它更大优点是能节省很多 I/ O 口资源。所以,本系统采用AT89S52作为控制核心,为了提高运算速度,所以采用并行方式。低通滤波器的设计尤为重要,其性能的优劣对输出信号的质量起着重要的作用。一般设计主要有三中常见的滤波器:巴特沃斯低通滤波器、切比雪夫低通滤波器和椭圆滤波器。通过比较,实现相同的性能指标时,椭圆滤波器的设计阶数低而且频率特性好,但非线性相位响应最明显。综合考虑后本设计选用5阶的椭圆滤波器。LCD具有显示内容多、电路结构简单、占用单片机资源少等特点,因此,本设计采用1602C型LCD液晶显示屏来显示信号的类型和频率的大小。1.4总体设计框图系统框图如图1.1所示键盘LCD1602单片机AD9850低通滤波器信号输出图1.1 系统框图通过键盘对波形的频率进行控制实可行的型号。LCD显示单片机2*8键盘频率检测DDS方波LPF放大幅度步进调节积分电路(电容选择)方波输出继电开关选择输出三角波放大输出正弦波输出电源时钟A/D转换真有效值转换正弦波1.2 硬件系统组成框图第二章 DDS技术产生信号的基本原理2.1DDS简介直接数字频率合成技术(DDS)是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。一个数字频率合成器由相位累加器、加法器、波形存储器(ROM)、D/A转换器和低通滤波器(LPF)其工作原理如图2.1所示:累加器加法器加法器ROMD/A参考信号f cLPF 图2.1 原理框图其中K为频率控制字、P为相位控制字、W为波形控制字、f c为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。正弦函数是一个周期函数,因此它的相位值和幅值有一一对应关系。这一关系可以通过查询ROM很容易实现,该查询表的地址线对应相位信息,数据线对应幅值信息,所以正弦信号沿轴方向等间隔取样,就得到该信号的抽样序列,并将取样值用二进制表示。改变频率控制字时,相位增量也发生相应的改变,取样值也随之而改变,从而合成所需频率,抽样序列通过D/A转换形成量化的正弦波,最后通过滤波平滑,生成连续的标准的正弦波。2.2频率预置与调节电路K被称为频率控制字,也叫相位增量。DDS方程为:f0=fCLK/2n,f0为输出频率,fc 为时钟频率。当K=1时,DDS输出最低频率(也即频率分辨率),为fc/2n,而DDS的最大输出频率由Nyquist采样定理决定,即fc/2,也就是说K的最大值为2N-1。因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变控制字K即可。2.3累加器 寄存器图2.2 累加器框图相位累加器由N位加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字K与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。2.4控制相位的加法器通过改变相位控制字P可以控制输出信号的相位参数。令相位加法器的字长为N,当相位控制字由0跃变到P(P0)时,波形存储器的输入为相位累加器的输出与相位控制字P之和,因而其输出的幅度编码相位会增加P/2N,从而使最后输出的信号产生相移。2.5控制波形的加法器通过改变波形控制字W可以控制输出信号的波形。由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变相位后的地址与波形控制字W(波形地址)之和,从而使最后输出的信号产和相移。2.6波形存储器用相位累加器输出的数据作为波形存储器的取样地址,进行波形的相位一幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把0°360°的正弦信号离散成具有2N个采样值的序列,若波形ROM有D位数据位,则2N个样值的幅值D位二进制数值固化在ROM中,按照地址的不同可以输出相应相位的正弦信号的幅值。相位幅度变换原理图如下图所示:地址相位量化序列波形幅度量化序列(数据) 图2.3 相位幅度变换原理图2.7D/A转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出的波形的精度也就越高。2.8低通滤波器对D/A输出的阶梯波S(t)进行频谱分析,可知S(t)中除主频fo外,还存在分布在fc,2fc等等的两边±fo处的非谐波分量,幅值包络为辛格函数。因此,为了取出主频f0,必须在D/A转换器的输出端接入截止频率为fc/2的低通滤波器,滤波电路的设计如下图所示:图2.4滤波电路为了使输出的频率不受外界和一些杂波的干扰,需用一个低通滤波器(LPF)滤除高次谐波。常用的滤波器的频率响应有三种:巴特沃斯型(Butterworth),切比雪夫型 (Chebyshev)和椭圆型 (Cauer)。其中巴特沃斯滤波器通带最平坦,它的通带内没有纹波,在靠近零频处,有最平坦通带,趋向阻带时衰减单调增大,缺点是从通带到阻带的过渡带最宽,对于带外干扰信号的衰减作用最弱,过渡带不够陡峭,因此它适用于对通带要求较高,而去除的频率离通带较远的情况;切比雪夫滤波器在通带内衰减在零值和一个上限值之间做等起伏变化,阻带内衰减单调增大,带内有起伏,但过渡带比较陡峭;椭圆滤波器不仅通带内有起伏,阻带内也有起伏,而且过渡带陡峭。比较起来,椭圆滤波器性能更好,本设计中采用的是椭圆滤波器10。具体电路图如图2.4所示。2.9数字波形合成的理论分析对于一个标准的正弦信号可用如下函数表示: 它的相位是时间的线性函数,即相位函数对时间的导数是常数,即对于(1.1)式信号进行采样,若采样周期为则可得到离散的波形序列离散的相位序列为第三章 芯片的简介3.1AD9850结构与性能 随着数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术,即直接数字频率合成(DDS)技术异军突起。美国AD公司推出的高集成度频率合成器AD9850便是采用DDS技术的典型产品之一。AD9850采用先进的CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为4080,采用28脚SSOP表面封装形式。AD9850的引脚排列如图3.1所示,图3.2为其组成框图。中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9850的主要组成部分7。图3.1 AD9850管脚排列图微控制器相位控制字频率控制字相位累加器相位寄存器正弦查询表DACLPF比较器图3.2 AD9850组成框图合成。可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成, N一般为2432。每来一个外部参考时钟,相位寄存器便以步长M递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0°360°范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模拟量。相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次,相应地正弦查询表每经过一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。输出的正弦波周期T0=Tc2N/ M,频率fout=Mfc/ 2N,Tc、fc分别为外部参考时钟的周期和频率。AD9850采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC, DAC再输出两个互补的电流8。DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为: (3-1) Rset的典型值是3.9k。将DAC的输出经低通滤波后接到AD9850内部的高速比较器上即可直接输出一个抖动很小的方波。其系统功能如图3-3所示。高速DDS频率相位数据寄存器数据输入寄存器图3.3 AD9850系统功能图AD9850在接上精密时钟源和写入频率相位控制字之后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在125MHz的时钟下, 32位的频率控制字可使AD9850的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180°、90°、45°、22.5°、11.25°或这些值的组合进行调整。3.2AD9850的控制字与控制时序AD9850有40位控制字, 32位用于频率控制,5位用于相位控制, 1位用于电源休眠(Powerdown)控制, 2位用于选择工作方式。这40位控制字可通过并行方式或串行方式输入到AD9850,图3.4是控制字并行输入的控制时序图,在并行装入方式中,通过8位总线D0D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后, W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器9。图3.4 控制字并行输入的时序图3.3 AT89S52结构与性能AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用ATMEL公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、有效的解决方案2。AT89S52具有以下标准功能:8k字节Flash、256字节RAM、32 位I/O 口线、看门狗定时器、2个数据指针、三个16 位定时器/计数器、一个6向量2级中断结构、全双工串行口、片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止3。AT89S52的引脚结构如图:图3.5 单片机AT89S52引脚结构图P0口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0具有内部上拉电阻。在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。P1口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,P1 输出缓冲器能驱动4个TTL逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2的触发输入(P1.1/T2EX),在flash编程和校验时,P1口接收低8位地址字节。P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器。能驱动4个TTL 逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。在访问外部程序存储器或用16位地址读取外部数据存储器时,P2 口送出高八位地址。在这种应用中,P2口使用很强的内部上拉发送1。在使用8时钟电路晶振电路:图3.6 时钟电路XTAL1是片内振荡器的反相放大器输入端,XTAL2则是输出端,使用外部振荡器时,外部振荡信号应直接加到XTAL1,而XTAL2悬空。内部方式时,时钟发生器对振荡脉冲二分频,如晶振为12MHz,时钟频率就为6MHz。晶振的频率可以在1MHz-24MHz内选择。电容取30PF左右。AT89C51中有一个用于构成内部振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是该放大器的输入端和输出端。这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器5。片外石英晶体或者陶瓷谐振器及电容C1、C2接在放大器的反馈回路中构成并联振荡电路。对外接电容C1、C2虽然没有十分严格的要求,但电容容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程序及温度稳定性,这里采用电容30pF,晶振采用11.0592MHz。复位电路AT89S52的外部复位电路有上电自动复位和手动按键复位。上电复位电容充电来实现。手动按键复位又分为按键电平复位和按键脉冲复位。按键电平复位电路是在普通RC复位电路的基础上接一个有下拉电阻10K、上拉电容10f接VCC,电源由开关经串接的1K限流电阻至复位脚(和上拉电容并联),上拉电容支路负责在“上电”瞬间实施复位;开关通过1K上拉电阻和10K下拉电阻分压器,保证对单片机实施按键电平复位。电路图如下图所示:图3.7 复位电路位地址(如MOVX RI)访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号4。随着计算机技术的高速发展,单片机以其自身的特点,已广泛应用于智能仪器、工业控制、家用电器、电子玩具等各个领域。3.4 24C02结构与性能24C02与单片机的接口非常简单,如下图所示:    图3.8 单片机接口E0,E1,E2为器件地址线,WP为写保护引脚,SCL,SDA为二线串行接口,符合I2C总线协议。在一般单片机系统中,24C02 数据受到干扰的情况是很少的,但是随着单片机抗干扰性能的变差,以及恶劣工业环境中单片机系统的应用,一些智能单片机控制系统相继出现24C02数据被冲掉的问题,而且随着单片机的牌号以及24C02的牌号不同而出现不同程度的干扰现象。以前通过简单的器件之间替换比较,发现不同牌号的24C02其抗干扰性能是不一样的,于是就认定24C02器件存在"质量"好坏的问题。后来在一次偶然的机会里,发现有些24C02的WP引脚并不起到保护作用,也就是说将 WP引脚与CPU输出引脚断开并保持高电平的情况下,CPU仍然能够对24C02中的数据进行修改写入!在惊讶之余,笔者收集了许多不同牌号的24C02 进行试验,除了基本的读写功能外,还对地址功能以及WP引脚保护功能进行了全面的检测,发现一种ATMEL(激光印字)以及XICOR牌号的24C02具有全面的符合I2C总线协议的功能,而有些牌号24C02要么没有WP引脚保护功能,要么没有器件地址功能(即2 片24C02不能共用一个I2C总线),有些甚至两种功能均无。所以说一些同样功能型号的电子器件在兼容性上往往会带来意想不到的问题,值得引起注意。3.5MAX232的结构与性能MAX232芯片是美信公司专门为电脑的RS-232标准串口设计的接口电路,使用+5v单电源供电。 它的内部结构基本可分三个部分; 第一部分是电荷泵电路。由1、2、3、4、5、6脚和4只电容构成。功能是产生+12v和-12v两个电源,提供给RS-232串口电平的需要。第二部分是数据转换通道。由7、8、9、10、11、12、13、14脚构成两个数据通道。其中13脚(R1IN)、12脚(R1OUT)、11脚(T1IN)、14脚(T1OUT)为第一数据通道。 8脚(R2IN)、9脚(R2OUT)、10脚(T2IN)、7脚(T2OUT)为第二数据通道。TTL/CMOS数据从T1IN、T2IN输入转换成RS-232数据从T1OUT、T2OUT送到电脑DP9插头; DP9插头的RS-232数据从R1IN、R2IN输入转换成TTL/CMOS数据后从R1OUT、R2OUT输出。而我们用只需一个通道就行啦。所以一通道的11、12、13、14脚就全空脚啦。我们只用了第二通道的4只脚。 第三部分就是供电啦。15脚DNG、16脚VCC(+5v)。20%PR 其实美信公司生产的用于RS-232接口的芯片有好多好多。有各种各样的封装,有只有一个通道的,也有多通道的,有底耗电的,也有耐15kv高压的。其中MAX3233E使用+3v电源、+-15kvESD保护、而且省去了电荷泵的4只电容。 内部原理图如下: 图3.95 MAX232原理图3.6RT1602结构与性能1602型LCD可以显示2行16个字符,有8位数据总线D0D7和RS,R/W,EN三个控制端口,工作电压为5V,并且具有字符对比度调节和背光功能6。1602型LCD的接口信号说明,如表3.1所示:表3.1 LCD1602接口说明编号符号引脚说明编号符号引脚说明1VSS电源地9D2Data I/O2VDD电源正极10D3Data I/O3VL液晶显示偏压信号11D4Data I/O4RS数据/命令选择端(H/L)12D5Data I/O5R/W读写选择端(H/L)13D6Data I/O6E使能信号14D7Data I/O7D0Data I/O15BLA背光源正极8D1Data I/O16BLK背光源负极基本操作程序读状态:输入:RS=L,RW=H,E=H输出:D0D7=状态字读数据:输入:RS=H,RW=H,E=H输出:无写指令:输入:RS=L,RW=L,D0D7=指令码,E=高脉冲 输出:D0D7=数据写数据:输入:RS=H,RW=L,D0D7=数据,E=高脉冲 输出:无第四章 主要硬件的总体设计4.1AD9850与单片机的接口电路AD9850有两种与微机并行打印口相连的评估版,并配有Windows下运行的软件,可以作为应用参考,但运用单片机实现对DDS的控制与微机实现的控制相比,具有编程控制简便、接口简单、成本低,容易实现系统的小型化等优点,因此普遍采用MCS51单片机作为控制核心来向AD9850发送控制字。单片机与AD9850的接口既可采用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式,本文重点介绍其并行方式的接口。P3.1 I/O方式并行接口I/ O方式的并行接口电路比较简单,但占用单片机资源相对较多,图3-8是I/O方式并行接口的电路图,AD9850的数据线D0D7与P1口相连, FQ_UD和W_CLK分别与P2.3(10引脚)和P2.4(11引脚)相连,所有的时序关系均可通过软件控制实现。图4.1 AD9850与单片机连接4.2 LCD与单片机的连接图4.2 LCD与单片机的接口电路在实际的接线中,1602的DB0DB7与89S52的P0口相接,RS与P1.3相接,4.3、键盘模块图4.3 键盘控制电路/W与P1.2相接,E与P1.1相接。VL与地之间接一个10K的滑动变阻器来到1602初始显示的调节。第五章 软件部分设计软件程序的功能就是通过程序使整个系统按照人们的设想要求工作起来,本系统中最主要的部分就是将AD9850 的40 位控制字通过单片机写入到AD9850 芯片内,系统的程序流程图如图4 所示。要根据写入控制字方式的不同严格按照AD9850 的时序图来编写控制字写入子程序9 ,10 。本文主要给出串行写入方式的汇编源程序以供读者调试参考。AD9850 数据传送子程序如下:DDS_DATA BIT P1. 3DDS_WCL K BIT P1. 4DDS_FQUD BIT P1. 5DATA0 DATA 30HDATA1 DATA 31HDATA2 DATA 32HDATA3 DATA 33HDATA4 DATA 34HORG 0000HMAIN :MOV 30H , # 0H118AD9850 数据传送子程序如下:DDS_DATA BIT P1. 3DDS_WCL K BIT P1. 4DDS_FQUD BIT P1. 5DATA0 DATA 30HDATA1 DATA 31HDATA2 DATA 32HDATA3 DATA 33HDATA4 DATA 34HORG 0000HMAIN :MOV 30H , # 0HMOV 31H , # 06HMOV 32H , # 66HMOV 33H , # 66HMOV 34H , # 66Hlcall SEND_DATAlcall SEND_DATASJMP END_DATA :MOV R1 , # DATA4MOV R0 , # 05HCLR DDS_FQUDLOOP_SEND_W:MOV A , R1CLR C SEND_1_BIT :LOOP_SEND :CLR DDS_WCL KRRC A MOV DDS_DATA ,CDJNZ R2 ,LOOP_SENDDEC R1DJNZ R0 ,LOOP_SEND_WSETB DDS_FQUDRET ENDAT89S52初始化AD9850初始化LCD1602初始化正弦波、方波、三角波发生显示及数据输出图5.1 系统程序流程图第六章 用到的数学原理6.1数学原理设有一频率为的余弦信号:现在以采样频率对进行采样,得到的离散序列为: 其中为采样周期。对应的相位序列为 从上式可以看出相位序列呈线性,即相邻的样值之间的相位增量是一个常数,而且这个常数仅与信号的频率有关,相位增量为:因为信号频率与采样频率之间有以下关系: 其中与为两个正整数,所以相位的增量也可以完成:由上式可知,若将的相位均匀的分为等份,那么频率为的余弦信号以频率采样后,它的量化序列的样品之间的量化相位增量为一个不变值。根据上述原理可以构造一个不变量为量化相位增量的量化序列: 然后完成从到另一个序列的映射,由构造序列: 公式(61)公式(6-1)是连续信号经采样频率为采样后的离散时间序列,根据采样定理,当时,经过低通滤波器平滑后,可唯一恢复出。 可见,通过上述变换不变量将唯一的确定一个单频率模拟余弦信号: 该信号的频率为: 公式(62)公式(62)就是直接数字频率合成(DDS)的方程式,在实际的DDS中,一般取,于是DDS方程就可以写成: 公式(63)根据公式(63)可知,要得到不同的频率只要通过改变的具体数值就可以了,而且还可以得到DDS的最小频率分辨率(最小频率间隔)为当时的输出频率:可见当参考频率始终一定是,其分辨率由相位累加器的位数决定,若取,则,即分辨率可以达到,这也是最低的合成频率,输出频率的高精度DDS的一大优点。由奈奎斯特准则可知,允许输出的最高频率,即,但实际上在应用中受到低通滤波器的限制,通常,以便于滤波镜像频率,一般:由此可见DDS的工作频率带较宽,可以合成从直流到的频率信号,同时它的输出相位连续,频率稳定度高。对于一个标准的正弦信号可用如下函数表示: 它的相位是时间的线性函数,即、相位函数对时间的导数是常数,即对于(1.1)式信号进行采样,若采样周期为则可得到离散的波形序列 离散的相位序列为是连续两次采样之间的相位增量,要据采样原理只要 从式(1.4)的离散序列即可唯一地恢复出式(1.1)的模拟信6.2算法比较和选择产生正弦波的方法一般有:采样回放法、查表法、泰勒级数展开法、数字正弦振荡器法、递推数列法等。6.2.1采样回放法该方法最为容易实现,只需对已有标准正弦信号源进行采样得到数据后直接回放或进行变频变幅处理后回放。该方法的关键在于采用高性能的A/D、D/A芯片并合理设计硬件电路,使信号处理过程中保证良好的波形,以保证采样数据的精准性。在进行数字变频及变幅处理时,要清楚数据的格式并保证回放数据的点数满足奈奎斯特定理,防止频谱混迭。6.2.2查表法可以通过程序直接使用该表中的数据,由D/A回放出正弦波。但由于该表中数据量有限,得到的正弦波频率单一;且如TI公司的声明所述,芯片ROM中的内容可能在将来发生改动,这样不利于系统的兼容。有效的解决方法是使用自己生成的正弦数据表。这样,不但可以解决频率单一的问题,还可以增加数据量,从而增加精度。其缺点在于使用大量的存储空间。正弦表可以通过MATLAB模拟仿真后生成数据文件得到,也可以采用方法3和4的办法用计算的方法生成。6.2.3泰勒级数展开法这是一种有效的生成正弦波的方法。一定角度的正弦及余弦波都可以展开成泰勒级数,实际应用是只取有限项进行近似处理。例如取前五项的近似公式为: (6-4) (6-5)其中: 为角度值,x为其对应的弧度值。计算不同的角度只需不断地变换 的值,且利用弧度与频率之间的关系很容易实现变频处理。如果进一步掌握好DSP及所用D/A的数据格式及参考电压,还可以实现变幅处理。6.2.4数字正弦振荡器法数字正弦波振荡器可以视为在单位圆上有两个复共轭极点的二阶振荡器。其系统函数可表示为: (6-6)其中:共轭极点为:其离散时域脉冲响应:由上式可知 ,对于给定 的冲激信号将产生一单频的正弦信号,实际应用中常以差分方程的形式给出: (6-7)该 冲击作用于系统 的主要 目的就是开始一个正弦振荡 ,但如果 系统无阻尼且稳定 ,则振荡将持续下去。为方便起见 ,我们不对系统加入冲击信号 ,而改变的起始值 ,从而使系统满足起振的起始条件。这样系统差分方程变为 : (6-8)其中: 为采样频率,为正弦波频率,A为正弦波幅度,可见由自己决定了正弦波的幅度。在下面的DTMF编码波形产生中采用的公式为: (2-6)可见用数字频率振荡器产生正弦波的实质就是如何用程序实现上述的差分方程。6.2.5递推数列法本算法介绍快速计算正弦波的方法,并且就速度和精度问题进行一些讨论。在DSP运用中,经常需要产生正弦波。如果 直接用c的数学函数sin,当然可以产生正弦波,但是由于sin函数本身的效率很低,产生正弦波所需要的MIPS就会占去DSP处理能力的相当大的一部 分。本章介用递推数列算正弦波的方法,先介绍原理,推导出递推公式,然后用浮点小数实现计算,再用定点小数进一步优化算法,最后进行误差分析,并提出更精 确的定点小数算法。 先来看看如何推导出递推数列的公式。我们所要产生的正弦波,其实是一系列的整数,把这些整数按照一定的取样频率发送给数模转换器,就可以变成真正的正弦波了。假设取样周期是Ts,产生的正弦波的圆频率为w,那么我们需要产生的数列就是:sin(0), sin(w*Ts), sin(2*w*Ts), . sin(n*w*Ts)假设f(n)= sin(n*w*Ts),则问题就变成,从f(n-1), f(n-2), f(n-3),., 如何计算f(n)了。解决了这个问题,也就找到了递推公式。下面是这个递推公式的求解过程,假设x=w*Ts:公式:sin( a + b) = sin(a)*cos(b) + cos(a)*sin(b) (2-7)sin(x+(n-1)x)=sin(x)*cos(n-1)x)+cos(x)*sin( (n-1)x) (2-8)公式:sin(a)*cos(b) = 1/2 * sin( a+b ) + sin( a-b ) (2-9)sin(x)*cos(n-1)x)=1/2 *sin(nx) - sin(n-2)x) (2-10)sin(nx)=1/2 * sin(nx)-sin(n-2)x) +cos(x)*sin(n-1)x)(2-11)sin(nx)= 2*cos(x)*sin( (n-1)x ) - sin( (n-2)x ) (2-12)我们看到这个递推公式是:f(n)=2*cos(w*Ts)*f(n-1) - f(n-2) (2-13)也就是说只要知道最初始的两项f(0)和f(1),就可以计算出整个正弦波了。根据上面的递推公式,很容易写出下面的正弦波计算程序。只要事先计算一次sin(w*Ts)和cos(w*Ts),以后的值就可以通过递推公式得到,所以计算一个值所需要的工作就是一次乘法,一次加法,两次变量复制而已了。float y3 = 0, sin(w*Ts),0; / y(n), y(n-1), y(n-2)float a1=2*cos(w*Ts);float a2=-1;float singen()y0=a1*y1+a2*y2;y2=y1;y1=y0;return y0;假如我们需要产生取样频率为8KHz的440Hz的正弦波,那么a1=2*cos(2*pi*440/8000)=1.8817615,而y1=sin(2*pi*440/8000)=0.33873792。现在看如何用定点小数来更快的计算正弦波。我们使用16bit也就是short型的整数来表示定点小数。首先需要决定的是小数的Q值,虽然我们最后计算的正弦波的值都是小于1的,但是在计算过程中需要用2*cos(w*Ts),而这个值最大为2,所以我们选择的Q值必须至少最大能表示2。这里我们选择Q14,Q14的定点小数能表示-2到2的取值范围,对于本例的正弦波计算正好合适。1.8817615的Q14值是1.8817615*214=5550=0x786F,同样0.33873792的Q14值为0x15AE。下面就是完整的计算8KHz取样频率的400Hz的定点小数的正弦波的程序。short y3 = 0, 0x15AE,0; / y(n), y(n-1), y(n-2)short a1=0x786F;short a2=0xC000;short singen() y0=( (long)a1*(long)y1+(long)a2*(long)y2 )>>14; y2=y1; y1=y0; return y0;使用定点小数计算不但速度比浮点更快,而且计算得出来的值是整数,这个数值可以直接传递给DAC(数模转换器)转换为模拟的声音信号,如果使用浮点小数计算的话,还必须把浮点数转换为整数才能传递给DAC。使用定点小数计算必须仔细分析误差,下面来看看我们产生的正弦波的误差是多少。定点小数计算中的误差就是由定点小数表达精度决定的。在上面的例子中我们用0x786F表示1.8817615,这存在一定的误差,把Q14的0x786F再转换为浮点数就是0x786F/214=1.8817749,可以看到相对误差非常小,也就是说最终得到的正弦波在频率上的误差也是非常小的。但是,定点小数并不是什么时候都这么精确。例如如果用CD音质的取样频率44100Hz来产生100Hz的正弦波,那么a1=2*cos(2*pi*440/44100)= 1.9960713,这个数转换为16比特的Q14的值是0x7fc0。我们可以看到这时定点小数已经十分接近0x7fff了,最终产生的正弦波的频率也会有很大的误差。为了能够精确地计算这样的正弦波,必须使用32bit的Q30定点小数。其中a1=-2cos0,a2=1, 另外上面的singen函数每调用一次只产生一个值,如果要产生实时的正弦波的话,函数的调用频率和取样频率相同,DSP的负担相对比较大。一般DSP计算都采取块计算方式,一次计算n个(例如64)个取样值,这样不但减少了函数的调用负担,也可以减少中间的内存移动的次数(y2=y1;y1=y0;)。通过比较,本文采用递推数列法来产生正弦信号。第七章 电路原理图的绘制7.1一般步骤1. 绘制出设计电路的草稿图(根据稿图搜集并购买电子元件)2. 利用PROTEL99SE软件画出电路原理图。3. 根据单路原理图,利用电路设计软件,生成电路板图。根据该电路板土就可以加工生成印刷电路板。4. 印刷电路板制作完毕,将器件焊接于其上,并进行单片机程序的烧录和电路的调试。7.2原理图的绘制1. 创建一个新的设计文件管理库 执行FILE|NEW命令新建一个管理数据库文件,选择SCHEMATIC DOCUMENT图标,单击OK。 2. 加载元件库 在电路图放置元件之前,必须先加载库文件。执行主菜单的DESIGN|ADD REMOVE LIBRARY命令或单击左侧设计管理器的ADD|REMOVE按钮。 3. 绘制电路图 放置元件、绘制导线、放置电源部件、放置电气连接点、放置文字标注。 4. 修改元件参数 5. 保存原理图结束语经过这么多天的努力,我终于把毕业设计论文做好了。这段时间我不仅学到了许多新的知识,还巩固了以前学的一些知识。这次毕业设计我对信号发生器的硬件认真地进行了学习,通过此次的毕业设计,我收获很多。在完成毕业设计的过程中,我遇到的不少困难,但是经过一番努力我还是克服了重重困难。这使我明白不管做什么事都应该抱着细心、严谨、不浮躁的态度,特别是我们自动化这行,每个细节都要把握,因为每一个任务都有可能影响到生产制造,严重时可能导致事故的发生,这可是所有人都不愿看到的。生活中,我们也同样会遇到许多问题,这时我们要冷静思考,沉着面对,不能退缩,因为只有这样才能真正地解决问题。虽然有时解决问题的道路是漫长,但是我们要坚信只要努力就一定能够成功。参考文献1 沈德金. MCS-51系列单片机接口电路与应用程序设计M.北京:北京航空航天大学出版社,1999.5.2 张永瑞.电子测量技术基础M.西安:西安电子科技大学出版社,1994.12.3 刘伟.基于AD9850芯片的信号发生器的研究M.苏州:苏州大学出版社,2002.4.4 吴镇扬.数字信号处理M.北京:高等教育出版社,2004.9.5 吴炳胜.80C51单片机原
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!