设计一个比较电路-当输入的8421BCD码大于5时输出为1-否则输出为0

上传人:飞*** 文档编号:40981895 上传时间:2021-11-18 格式:DOCX 页数:4 大小:73.31KB
返回 下载 相关 举报
设计一个比较电路-当输入的8421BCD码大于5时输出为1-否则输出为0_第1页
第1页 / 共4页
设计一个比较电路-当输入的8421BCD码大于5时输出为1-否则输出为0_第2页
第2页 / 共4页
设计一个比较电路-当输入的8421BCD码大于5时输出为1-否则输出为0_第3页
第3页 / 共4页
点击查看更多>>
资源描述
设计一个比较电路,当输入的 8421BCD 码大于 5 时输出为 1 ,否则输出为 0LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY BCD ISPORT( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);B : IN STD_LOGIC_VECTOR(3 DOWNTO 0);Q : OUT STD_LOGIC );END ENTITY;ARCHITECTURE BHV OF BCD ISBEGINPROCESS(A,B)BEGINIF ( AB)THEN Q=0;ELSE Qode(f) rd pr(c).EdUXlJ P瑞一ToLocoboriGercdruKtonSpejfllFurxbottmrd|hbted1D网PIN 73Lcimi/0ves2D1PIN 78Row I/OYes3加79M【QYfeS4OK加126Dedjatej l -tt他5血国加肥的IQ%s66闾加181RorrInai
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸设计 > 毕业论文


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!