基于DSP的交流采样电路设计

上传人:1888****888 文档编号:39578802 上传时间:2021-11-11 格式:DOC 页数:11 大小:242KB
返回 下载 相关 举报
基于DSP的交流采样电路设计_第1页
第1页 / 共11页
基于DSP的交流采样电路设计_第2页
第2页 / 共11页
基于DSP的交流采样电路设计_第3页
第3页 / 共11页
点击查看更多>>
资源描述
蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀蒁袀肇肀芄螆肆膂葿蚂肅芄节薈肅肄蒈薄肄膆莀袂肃艿薆螈肂莁荿蚄肁肁薄薀膀膃莇衿腿芅薂螅腿莈莅蚁膈膇薁蚇螄芀蒄薃螃莂虿袁螃肁蒂螇螂膄蚇蚃螁芆蒀蕿袀莈芃袈衿肈蒈袄袈芀芁螀袇莃薇蚆袇肂莀薂袆膅薅袁袅芇莈螇羄荿薃蚂羃聿莆薈羂膁薂蒄羁莄莄袃羁肃蚀蝿羀膅蒃蚅罿芈蚈薁羈莀肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆节虿蚈膂膈蚈螀羄蒆螇袃膀莂螆羅羃芈螅蚅膈芄莂袇肁膀莁罿芆葿莀虿聿莅荿螁芅芁莈袃肇膇蒇羆袀蒅蒆蚅肆莁蒆螈衿莇蒅羀膄芃蒄蚀羇腿蒃螂膂蒈蒂袄羅莄蒁羇膁芀薀蚆羃膆薀蝿腿肂蕿羁羂蒀薈蚁芇莆薇螃肀节薆袅芆膈薅羇肈蒇薅蚇袁莃蚄蝿肇艿蚃袂衿膅蚂薁肅膁蚁螄袈蒀蚀袆膃莆虿羈羆 西安科技大学综合实验报告 学 院: 电气与控制工程学院 专业名称: 测控技术与仪器 设计题目: DSP交流采集电路设计 组 员: 侯春慧 张娜 张珍珍 张小红 姓 名: 张珍珍 学 号: 0706070111 指导教师: 高 瑜目录1. 实验目的2. 实验内容3. 实验原理4. 实验步骤5. 实验原理图6. 实验程序7. 实验结果8. 问题解决9. 心得体会基于DSP的交流采样电路设计1、 实验目的1、通过此次试验,让同学们能够好好复习以前学过的知识,主要是如何采集交流电量并处理得到我们需要的实测数据,一边与后面的分析预测等内容;2、通过此次试验,能够让同学们更加熟悉继电保护的原理以及实际中的应用方法和实现手段,更便于大家理解;3、通过此次试验,让同学们对于DSP了解更加深刻,不知是要在原理上懂得,更要学会应用它,能够利用DSP进行一些简单的编程;4、通过此次试验,培养大家的实际动手能力以及小组合作能力,为今后的职业发展做一个小小的实践;二、实验内容 通过电网采集电压信号,根据电压信号变化,控制灯泡的跳闸控制。三、实验原理交流采样技术是按一定规律对被测信号的瞬时值进行采样,再按一定算法进行数值处理,从而获得被测量的测量方法。该方法的理论基础是采样定理,即要求采样频率为被测信号频谱中最高频率的2倍以上,这就要求硬件处理电路能提供高的采样速度和数据处理速度。目前,高速单片机、DSP及高速A/D转换器的大量涌现,为交流采样技术提供了强有力的硬件支持。交流采样法包括同步采样法、准同步采样法、非同步采样法等几种。 此次试验是通过互感器来对电压数据进行采集,再进过偏置等的处理,处理到数值范围为03V,再输入到DSP通过其内部所含的DA装换,将采样数据进行处理、数值分析、比较,如果处理后的数值大于给定的整定值则通过对GPIO端口的控制实现输出跳闸信号,作用于继电器。四、实验步骤 1、按要求设计交流采集电路原理图; 2、根据原理图焊接板子; 3、验证硬件的采样输出波形是否满足03V要求,跳闸回路是否准确; 4、经老师检查认可后,通电进行系统调试。 5、能够完全准确的模拟继电保护。五、实验原理图1、交流采样电路(主回路)2、采样数据偏置处理3、DSP接线插座图六、实验程序#include DSP281x_Device.h / DSP281x Headerfile Include File#include DSP281x_Examples.h / DSP281x Examples Include File/ Prototype statements for functions found within this file.interrupt void adc_isr(void);/ Global variables used in this example:Uint16 LoopCount;Uint16 ConversionCount;Uint16 Voltage124;Uint16 Voltage224;main() InitSysCtrl();/初始化cpu InitGpio();/初始化Gpio EALLOW; GpioMuxRegs.GPFMUX.all=0x0000; GpioMuxRegs.GPFDIR.bit.GPIOF0=1; EDIS; GpioDataRegs.GPFDAT.bit.GPIOF0=1; DINT;/关中断 InitPieCtrl();/初始化pie寄存器 IER = 0x0000;/禁止所有的中断 IFR = 0x0000; InitPieVectTable();/初始化pie中断向量表 / Interrupts that are used in this example are re-mapped to/ ISR functions found within this file. EALLOW; / This is needed to write to EALLOW protected register PieVectTable.ADCINT = &adc_isr; EDIS; / This is needed to disable write to EALLOW protected registers AdcRegs.ADCTRL1.bit.RESET = 1;/ Reset the ADC moduleasm( RPT #10 | NOP);/ Must wait 12-cycles (worst-case) for ADC reset to take effect AdcRegs.ADCTRL3.all = 0x00C8;/ first power-up ref and bandgap circuits AdcRegs.ADCTRL3.bit.ADCBGRFDN = 0x3;/ Power up bandgap/reference circuitry AdcRegs.ADCTRL3.bit.ADCPWDN = 1;/ Power up rest of ADC/ Enable ADCINT in PIE PieCtrlRegs.PIEIER1.bit.INTx6 = 1; IER |= M_INT1; / Enable CPU Interrupt 1 EINT; / Enable Global interrupt INTM LoopCount = 0; / Configure ADC AdcRegs.ADCMAXCONV.all = 0x0001; / Setup 2 convs on SEQ1 AdcRegs.ADCCHSELSEQ1.bit.CONV00 = 0x0; / Setup ADCINA3 as 1st SEQ1 conv. AdcRegs.ADCCHSELSEQ1.bit.CONV01 = 0x1; / Setup ADCINA2 as 2nd SEQ1 conv. AdcRegs.ADCTRL2.bit.EVA_SOC_SEQ1 = 1; / Enable EVASOC to start SEQ1 AdcRegs.ADCTRL2.bit.INT_ENA_SEQ1 = 1; / Enable SEQ1 interrupt (every EOS)/ Configure EVA/ Assumes EVA Clock is already enabled in InitSysCtrl(); EvaRegs.T1CMPR = 0xF2ED; / Setup T1 compare value EvaRegs.T1PR = 0x10; / Setup period register EvaRegs.GPTCONA.bit.T1TOADC =0x11; / Enable EVASOC in EVA EvaRegs.T1CON.all = 0x1042; / Enable timer 1 compare (upcount mode) ConversionCount = 0; GpioDataRegs.GPFDAT.bit.GPIOF0=0;/ Wait for ADC interrupt while(1) LoopCount+; interrupt void adc_isr(void) Voltage1ConversionCount = AdcRegs.ADCRESULT0 4; Voltage2ConversionCount = AdcRegs.ADCRESULT1 4; if(Voltage113200) GpioDataRegs.GPFDAT.bit.GPIOF0=0; / If 40 conversions have been logged, start over if(ConversionCount = 23) ConversionCount = 0; else ConversionCount+; / Reinitialize for next ADC sequence AdcRegs.ADCTRL2.bit.RST_SEQ1 = 1; / Reset SEQ1 AdcRegs.ADCST.bit.INT_SEQ1_CLR = 1; / Clear INT SEQ1 bit PieCtrlRegs.PIEACK.all = PIEACK_GROUP1; / Acknowledge interrupt to PIE return;七、实验结果在硬件检测中,用示波器测的在未加交流电时输出直流电压1.52V,加入最大电压250V后,测得最大输出电压2.72V,最小电压1.2V的正弦交流电。满足03V的要求。八、问题解决问题1:试验线路板焊制完成后,示波器采集无信号。解决:经过检测发现,该电路板变压器漏焊输出端口的接地线,将此点接地即可。问题2:在放大器8接口出检测,示波器放大信号微弱。解决:经检查发现,放大器9接口未与电阻相连,接上检测正确。问题3:用示波器检测硬件时,发现输出波形微弱。解决:经检测发现,未接+5V电源。问题4:实验程序编写过程基本上是修改自带程序,可是看不懂DSP自带的源程序。解决:通过老师的讲解,再加上大家的不断思考,终于看懂了自带程序,并且理清了编程的大概思路。即加一条比较语句和一条跳闸指令。九、心得体会测控0701 张珍珍 0706070111DSP交流采集电路设计,虽然是这学期最后一个实习,而且去年学过这门课程,做过两次实验,但是当时了解的只是皮毛,并没有深入掌握。但是我知道不管怎么样,这不仅仅是一次学习,更是对我们的一次锻炼,所以并没有因此而放松心态,而在早在实习前我就积极收集资料,了解到:DSP芯片也称数字信号处理器,是一种特别适合于进行数字信号处理运算的微处理器具,其主机应用是实时快速地实现各种数字信号处理算法。根据数字信号处理的要求,DSP芯片的特点:数字信号处理相对于模拟信号处理有很大的优越性,表现在精度高、灵活性大、可靠性好、易于大规模集成等方面。在开始实习的过程中,首先是进行硬件电路图的设计及焊接。紧接着就是硬件、软件调试,最后就是系统联调。可喜的是,我们小组竟是在进行板子焊接到硬件调试一次通过,我就感觉调试硬件没什么特别难的。可是后来的调试软件出现了一些问题,所幸的是,最后在老师的指导和同学的帮助下,不仅仅节省了时间,还他们那里得到一些经验。 通过这次实验,我们熟悉了CCS开发环境以及设计运行调试过程,认识到主回路、AD转换模块的各个工作原理以及两者之间的关系,学会对模块的初始化怎么定义和编写的流程,使我对DSP产生了浓厚的兴趣,激发了我学习DSP的积极性,从这次实验结果上来说,清晰的结果使我对书本上的知识应用到了实验中,很满足。该实验通过电网采集电压信号,根据电压信号变化,控制灯泡的跳闸控制。此外,我还懂得如何更好的完成任务的能力,树立坚强的工作信念。渐渐的找回自信,现在想想课设的每一天都很累。当领悟到这次实习的重要性,我便下定决心:一定要亲自动手操作,不懂就问。虽然自己亲手操作,克服了许多困难,但难免会出现疏忽和漏洞。从始至终,我是抱着这样的心里完成:完美可望不可求,不再同一个地方跌倒两次才是最重要的。最让我高兴的是:我懂得了沟通同学与同学之间的关系是值得我们去深思的,依赖与被依赖对我的触及很大:有人很有责任感,他们会主动承担责任,并付出努力,不断思考所遇到的问题,并实施解决办法。但是这其中也有不少人不以为然,实施“投机取巧”策略,在这里就不多说了。当然这些老师自然是看在眼里,知在心里。相信付出的努力不会白流其实生活中许多问题处理的方法不同,其结果也不同,并不是说过去他有多么强,现在就有多么厉害,只要心态正确,目标明确,总会有解决之道。相信对今后的考核学习和工作会有重大影响,大大提高了我的实际动手能力,使我充分体会到了在创新过程中的艰难与成功的喜悦。口角的斗争是难免的。关键在于怎么处理分歧,怎样消除误会,互相理解,增进了解,并最终谅解关键在于心态,毕竟我们每个人的出发点是好的,这次课程设计不仅仅是学习知识的过程,更是不断学习老师同学优点的过程。比如说:张小红同学在遇到问题会仔细斟酌考虑,而我虽然是个女孩子,却自觉不如他有耐心;老师虽身为老师,我却经常看到老师手拿资料书,不断的继续学习,以求完善老师说的好,别人取得好成绩,并非巧合或侥幸,那是他们付出劳动成果的彰显。在生活和学习上以求完美,培养优秀品质,指引人意气风发。更好的走自己的每一步,在今后的学习中,戒骄戒躁,态度端正,虚心认真态度决定一切! 总之,不管怎样,万事开头难,从刚开始的不知如何下手,到最终的做完,大家都如释重负,但是我们不会就此松懈。因为我们都知道还有更大的挑战在后面。此外,我还得出一个结论:只是必定要通过应用才有其价值!以为会,但用的时候才发现完全是两回事,所以,我以为只有真正的运用到实践中才有可能真正领悟。最后再次感谢各位老师的悉心教导和同学的热心帮助。 聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇芈蒃螁羃芇薆羆衿莆蚈蝿膈莅莈羄肄莄蒀螇罿莃蚂羃羅莂螅袅芄莂蒄蚈膀莁薆袄肆莀虿蚇羂葿莈袂袈蒈蒁蚅膇蒇薃袀肃蒆螅蚃聿蒆蒅罿羅蒅薇螁芃蒄蚀羇腿蒃螂螀肅薂蒂羅羁腿薄螈袇膈蚆羃芆膇蒆螆膂膆薈肂肈膅蚁袅羄膄螃蚇节膄蒂袃膈膃薅蚆肄节蚇袁羀芁莇蚄袆芀蕿衿芅艿蚁螂膁芈螄羈肇
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸设计 > 任务书类


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!