党团工作:总结 计划 汇报 设计 纯word可编辑

上传人:e****s 文档编号:243052933 上传时间:2024-09-14 格式:PPT 页数:77 大小:509.50KB
返回 下载 相关 举报
党团工作:总结 计划 汇报 设计 纯word可编辑_第1页
第1页 / 共77页
党团工作:总结 计划 汇报 设计 纯word可编辑_第2页
第2页 / 共77页
党团工作:总结 计划 汇报 设计 纯word可编辑_第3页
第3页 / 共77页
点击查看更多>>
资源描述
单击以编辑母版标题样式,单击以编辑母版文本样式,第二级,第三级,第四级,第五级,数字设计第5章,*,*,第5章 数字系统设计,什么是数字系统,数字系统设计的一般过程,节日彩灯控制系统设计,15位二进制数密码锁系统设计,数字设计第5章,1,定义,能够,存储,、,传送,和,处理,数据,并按照一定程序操作,的数字设备,称为,数字系统,(,Digital System,)。,典型系统,电子计算机、CPU、数字密码锁,什么是数字系统,数字设计第5章,2,5.1 数字系统设计的一般过程,图5-1 数字系统设计的一般过程,逻辑划分,算法设计,物理实现,方案设计,数字设计第5章,3,方案设计,图5-1 数字系统设计的一般过程,逻辑划分,算法设计,物理实现,方案设计,根据设计要求,包括功能要求和性能指标要求,确定待设计系统的总体方案;,是数字系统设计中最重要也最表达设计者创意的一个环节;,既满足系统功能和性能指标要求,性能价格比又较高。,数字设计第5章,4,方案设计,例5-1 某数字系统用于统计串行输入的n位二元序列X中“1的个数,试确定其系统方案。,1软件编程方案,性能价格比很高,但不属于本课程的范畴。,2序列检测器方案,性能价格比将随着序列长度的增加而急剧下降:,从接收序列的可能组合数来假设状态,检测n位序列需要设2n个状态。当n =255时,需要设2255个状态,从当前接收到“1的个数来假设状态,检测n位序列也需要设n+1个状态 。当n =255时,需要设256个状态,数字设计第5章,5,例5-1 某数字系统用于统计串行输入的n位二元序列X中“1的个数,试确定其系统方案。,3功能分解方案,从实现“1数统计功能所需要的操作入手,把系统按照操作功能划分为多个模块分别实现,思路与软件编程方案相似。,统计串行序列中“1的个数,只需要进行以下几种操作:, 对X的接收位数进行实时累计;, 对接收到的每一个X位进行是0还是1的判断;, 当X=1时使“1数计数器加1计数;, 判断X的全部数位是否统计完毕。,数字设计第5章,6,功能分解方案所需硬件,1个位数计数器:累计接收X序列的位数;,1个“1数计数器:累计X序列中1的个数;,1个控制电路:判断接收X位是0还是1;如果接收X位是1,使“1数计数器加1;每接收一个X位,使位数计数器加1;判断X的全部数位是否统计完毕。当X的各位全部统计完毕后,操作结束,“1数计数器的值就是序列X中“1的个数。,数字设计第5章,7,逻辑划分,按照现代数字系统的设计理论,任何一个数字系统都可以按照计算机结构原理从逻辑上划分为数据子系统(Data Subsystem)和控制子系统(Control Subsystem)两个局部,如图5-2所示。,图,5-2,数字系统的一般结构,控,制,子系统,数,据,子系统,启动控制,状态信息,控制信息,数据输入,数据输出,操作状态,核心,数字设计第5章,8,逻辑划分,逻辑划分:按照数据子系统和控制子系统功能特点的不同,将待设计系统从逻辑上划分为数据子系统和控制子系统两个局部,导出包含有必要的数据信息、控制信息和状态信息的系统结构框图。,划分原那么:工作原理清楚、物理实现方便。,图,5-2,数字系统的一般结构,控,制,子系统,数,据,子系统,启动控制,状态信息,控制信息,数据输入,数据输出,操作状态,数字设计第5章,9,逻辑划分,例5-2 对例5-1中描述的“1数统计系统进行逻辑划分,并导出系统结构框图。,数据子系统,用于统计X中“1的个数的“1数计数器和用于记忆X接收位数的位数计数器;,控制子系统,判断X位是0还是1、产生“1数计数器和位数计数器所需要的加1控制信号、判断统计是否结束等。,数字设计第5章,10,序列长度:,2,k,-1,图,5-3,“1”数统计系统的结构框图,S 位数计数器CTR2 CLK,CLR M=,2,k,P,“1”数计数器CTR1 CLKCLK,ST,X,RST,DONE,CLK,数据子系统,启动信号,复位信号,操作状态信号,计数控制,状态信号,数字设计第5章,11,算法设计,图5-1 数字系统设计的一般过程,逻辑划分,算法设计,物理实现,方案设计,设计者根据所导出的数字系统结构框图和工作原理,编制出思路清晰、实现简单的系统控制算法。,算法设计往往被看作数字系统设计的关键。,数字设计第5章,12,算法设计的主要工具,算法语言,RTL(Register Transfer Language):存放器传送语言,GSAL(Group-Sequential Algorithms Language):分组-按序算法语言,VHDL语言:在这个设计阶段使用VHDL语言不方便,算法图,ASM图 (Algorithmic State Machine Chart ):算法状态机图,是一种用来描述时序数字系统控制过程的算法流程图,非常类似计算机的程序流程图。算法状态机也称有限状态机、有限自动机或时序机。,MDS图:助记状态图,类似时序电路的状态图,数字设计第5章,13,2ASM图及其应用,ASM图的组成,状态块,判别块,条件输出块,带箭头的向线,数字设计第5章,14,状态块的符号,S,2,010,图5-4 状态块,RD,LD=1,状态块为矩形框,代表ASM图的一个状态。状态的名称及编码分别标在状态块的左、右上角也可省略,块内列出该状态下数据子系统进行的操作及控制器为实现这种操作而产生的控制信号输出可以只标其中一种,如图5-4所示。,数字设计第5章,15,判别块的符号,判别块为菱形框,用来表示ASM图的状态分支。判别块内列出判别条件,判别块的出口处列出满足的条件,如图5-5所示。,00,1,01,S,0,S,1,S,2,图5-5 判别块,X,1,X,0,=?,RX+Y,AY,数字设计第5章,16,条件输出块的符号,条件输出块为椭圆状或两端为圆弧线的框,用来表示ASM图的条件输出,它总是位于判别块的某个分支上。当满足该分支的条件时,将立即执行条件输出块中规定的操作。,Y N,S,0,S,1,图5-6 条件输出块,X=0?,KK+1,K0,I0,立即执行,下一节拍执行,数字设计第5章,17,例5-3 “1数统计系统的结构框图如图5-3所示,试导出控制器的ASM图。,N,Y,Y,S,1,S,0,ST=0?,N,Y,S,2,X=1?,Y,DONE=1,图5-7 “1”数统计系统控制器的ASM图,N,ST=0?,S=1?,N,P,2,=1,P,1,=1,等待启动,等待启动结束,是否统计完毕,位数加1,1数加1,数字设计第5章,18,可选器件,可编程逻辑器件,标准,MSI,器件,CPU,5.1.4 物理实现,数字设计第5章,19,用,PLD,实现数字系统,与用,PLD,实现数字电路的过程相同。这就是首先编写数字系统的,VHDL,源程序,然后用,EDA,软件进行编译、仿真,通过后再将编程数据下载到,PLD,器件中,最后进行功能测试。测试成功后,系统设计便告结束。,编写数字系统的源程序时,也是按照模块化的方式进行的。数字系统中的每一个模块对应一个实体,系统的整体功能用另一个包括各模块间连接关系的顶层实体来描述。,1基于PLD器件的系统实现,数字设计第5章,20,例5-4 编写本节描述的“1数统计系统的VHDL源程序。假设X序列长度为255。,“1数统计系统结构框图:图5-3,三个模块,“1数计数器模块CTR1:M=256,位数计数器模块CTR2:M=256,控制器模块CONTR: ASM图见图5-7,数字设计第5章,21,library IEEE; -“1数计数器模块CTR1,use IEEE.std_logic_1164.all;,use IEEE.std_logic_unsigned.all;,use IEEE.std_logic_arith.all;,entity CTR1 is,port(CLR,P,CLK: in STD_LOGIC; -输入,Q: out STD_LOGIC_VECTOR (7 downto 0);,-“1数输出,end entity CTR1;,“1数统计系统的VHDL源程序,数字设计第5章,22,architecture CTR1_ARCH of CTR1 is,signal IQ: STD_LOGIC_VECTOR (7 downto 0);,begin,process (CLR,CLK) is,begin,if (CLR=0) then IQ 0);-,异步复位,elsif (CLKevent and CLK=0) then-,时钟下降沿,if (P=1) then IQ= IQ+1; -,加法计数,end if;,end if;,Q = IQ;,end process;,end architecture,CTR1_ARCH;,数字设计第5章,23,library IEEE; -,位数计数器模块,CTR2,use IEEE.std_logic_1164.all;,use IEEE.std_logic_unsigned.all;,use IEEE.std_logic_arith.all;,entity CTR2 is,port(CLR,P,CLK: in STD_LOGIC; -,输入,S: out STD_LOGIC); -,数位状态输出,end entity CTR2;,architecture CTR2_ARCH of CTR2 is,signal IQ: STD_LOGIC_VECTOR (7 downto 0);,数字设计第5章,24,begin,process (CLR,CLK,IQ) is,begin,if (CLR=0) then IQ 0);,elsif (CLKevent and CLK=0) then,if (P=1) then IQ= IQ+1; end if;,end if;,if (IQ=255) then S=1;-,统计完,else S=0; -,尚未统计完,end if;,end process;,end architecture CTR2_ARCH;,数字设计第5章,25,library IEEE; -,控制器模块,CONTR,use IEEE.std_logic_1164.all;,entity CONTR is,port (RST,ST,X,S, CLK: in STD_LOGIC; P2,P1,DONE: out STD_LOGIC);,end entity CONTR;,architecture CONTR_ARCH of CONTR is,type STATE_TYPE is (S0,S1,S2) ;signal STATE: STATE_TYPE;,begin,CIRCUIT_STATE: process (RST,CLK) is -控制器状态进程,数字设计第5章,26,begin,if (RST=0) then STATE if (ST=1) then STATE = S0;,else STATE if (ST=0) then STATE = S1;,else STATE if (S=1) then STATE = S0;,else STATE STATE P2=0;P1=0;DONE P2=0;P1=0;DONEif (S=0) then P2=1; DONE=0;,if (X=1) then P1=1;,else P1=0;,end if;,else P2=0;P1=0;DONE P2=0;P1=0;DONEST,P=P1,CLK=CLK,Q=Q);,u2: CTR2 port map(CLR=ST,P=P2,CLK=CLK, S=S);,u3: CONTR port map (RST=RST,ST=ST,X=X,S=S,CLK=CLK,P2=P2,P1=P1,DONE=DONE);,end architecture COUNTER_ARCH;,数字设计第5章,31,2基于标准MSI器件的系统实现,系统结构框图中的数据子系统模块必须进一步进行功能分解,直到可用标准,MSI,器件实现为止。,控制子系统模块,可根据,ASM,图进行时序设计,其过程与用,MSI,模块实现状态图描述的时序电路完全相同。,数字设计第5章,32,例5-5 以MSI器件为核心,设计本节描述的“1数统计系统。假设X序列长度为255。,1 数据子系统的物理实现,X序列长度为255时的数据子系统由两个模256的加法计数器模块构成,其中一个为“1数计数器CTR1,另一个为位数计数器CTR2。从图5-3所示结构框图可见,这两个计数器必须具有加法计数、状态保持、异步清0三种操作功能,且为时钟下降沿触发。在74系列MSI计数器家族中,74161的功能比较类似,能够满足这两个计数器的操作要求,但它却为时钟上升沿触发,模也只有16。因此,必须将每个模256的计数器模块分解为两个模16的计数器,然后再用74161实现。,数字设计第5章,33,图5-8 用74161实现“1”数统计系统的数据子系统结构,“1”数计数器CTR1,“1”数输出,位数计数器CTR2,74161-4,CO,CLR,CP,P,T,Q,D,Q,C,Q,B,Q,A,LD,D C B A,74161-3,CO,CLR,CP,P,T,Q,D,Q,C,Q,B,Q,A,LD,D C B A,ST S,1,1,74161-2,CO,CLR,CP,P,T,Q,D,Q,C,Q,B,Q,A,LD,D C B A,74161-1,CO,CLR,CP,P,T,Q,D,Q,C,Q,B,Q,A,LD,D C B A,1,CLK,1,1,1,1,P,2,1,P,1,数字设计第5章,34,2 控制子系统的物理实现,74161的控制鼓励表参考图5-7所示ASM图,表5-1 74161的控制鼓励表,CLR,LD,表5-1 74161的控制鼓励表,CLR,LD,现态PS,条件,次态NS,工作方式,激 励,控制信号,S,i,(Q,B,Q,A,),ST S X,S,i,(Q,B,Q,A,),CLR,LD,P T,DCBA,P,2,P,1,DONE,S,0,(00),0,S,1,(01),计数,1,1,1 1,0 0 1,1,S,0,(00),保持,1,1,0 ,S,1,(01),0,S,1,(01),保持,1,1,0 ,0 0 0,1,S,2,(10),计数,1,1,1 1,S,2,(10),00,S,2,(10),保持,1,1,0 ,1 0 0,01,S,2,(10),保持,1,1,0 ,1 1 0,1,S,0,(00),置数,1,0, ,00,0 0 0,数字设计第5章,35,CLR=1,LD=Q,B,S P=(Q,A,ST)Q,B,T=1,DCBA=0000,P,2,=Q,B,S P,1,=Q,B,SX DONE=Q,B,+Q,A,图5-9 用74161构成的“1”数统计系统控制器电路,74161,CO,CLR,CP,P,T,Q,D,Q,C,Q,B,Q,A,LD,D C B A,1,RST,CLK,1,DONE,ST S,0000,1,&,&,X,P,1,P,2,=1,&,1,&,数字设计第5章,36,5.2 节日彩灯控制系统设计,采用不同色彩搭配方案的16路彩灯构成,有四种演示花型,:,花型1,:16路彩灯同时亮灭,亮、灭节拍交替进行。,花型2,:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。,花型3,:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。,花型4,:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。,要求,:彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为花型1、花型2、花型3、花型4,演示过程循环进行。,5.2.1 系统功能与使用要求,数字设计第5章,37,5.2.2 系统方案设计与逻辑划分,Z,7,Z,6,Z,5,Z,4,Z,3,Z,2,Z,1,Z,0,Y,7,Y,6,Y,5,Y,4,Y,3,Y,2,Y,1,Y,0,图5-10 16路彩灯控制系统结构框图,Q,7,Q,6,Q,5,Q,4,Q,3,Q,2,Q,1,Q,0,LSR8 M,1,CLK M,0,D,R,D,7,D,6,D,5,D,4,D,3,D,2,D,1,D,0,D,L,16,路彩灯,P,R,P,7,P,6,P,5,P,4,P,3,P,2,P,1,P,0,P,L,B,1,B,0,L,7,L,0,Q,R,Q,7,Q,6,Q,5,Q,4,Q,3,Q,2,Q,1,Q,0,Q,L,A,1,A,0,T0,CLK 控制器CONTR R,7,RST R,0,Q,6,Q,5,Q,4,Q,3,Q,2,Q,1,Q,0,CLR T256S,CLK CO,CLK(,0.5Hz,),RST,Q,7,Q,6,Q,5,Q,4,Q,3,Q,2,Q,1,Q,0,RSR8 M,1,CLK M,0,D,R,D,7,D,6,D,5,D,4,D,3,D,2,D,1,D,0,D,L,数字设计第5章,38,5.2.3 控制算法设计,符号说明,SR16:两个8位移位存放器模块LSR8和RSR8级联构成的16位移位存放器,局部操作符号功能定义:,SL0:将括号内指定的移位存放器模块左移1位,右侧位移入0;,SL1:将括号内指定的移位存放器模块左移1位,右侧位移入1;,SR0:将括号内指定的移位存放器模块右移1位,左侧位移入0;,SR1:将括号内指定的移位存放器模块右移1位,左侧位移入1。,数字设计第5章,39,Y,Y,S,7,N,Y,N,S,5,S,4,Y,S,1,N,N,Y,S,2,S,0,T0=1?,N,S,3,N,SR16FFFFH,Y,T0=1?,Y,SL0(SR16),SR165555H,SR160000H,T0=1?,SR160000H,R,0,=1?,SR1(SR16),SL0(SR16),L,7,=0?,SR160000H,S,6,SR1(LSR8),SL1(RSR8),L,0,=1?,SL0(LSR8),SR0(RSR8),TO=1?,N,L,7,=0?,N,Y,图5-11 彩灯控制系统的ASM图,花型4,花型3,花型2,花型1,数字设计第5章,40,5.2.4 系统的物理实现,1基于PLD器件的系统实现,library IEEE; -,定时器模块T256S,use IEEE.std_logic_1164.all;,use IEEE.std_logic_unsigned.all;,use IEEE.std_logic_arith.all;,entity T256S is,port(CLR,CLK: in STD_LOGIC; -,输入,CO: out STD_LOGIC); -,Q端不用,不定义,end entity T256S;,数字设计第5章,41,architecture T256S_ARCH of T256S is,signal IQ: STD_LOGIC_VECTOR (6 downto 0);,begin,process (CLR,CLK,IQ) is,begin,if (CLR=0) then IQ 0);,elsif (CLKevent and CLK=1) thenIQ= IQ+1; -,加法计数,end if;,if (IQ=127) then CO=1; -,输出CO=1,else CO null -,M=0保持,when 01 = IQ IQ IQ null; -,9值系统,end case;,end if;,Q = IQ;,end process;,end architecture RTL;,数字设计第5章,44,library IEEE; -,控制器模块CONTR,use IEEE.std_logic_1164.all;,entity CONTR is,port (RST,CLK,T0,L7,L0,R7,R0: in STD_LOGIC; PR,PL,QR,QL: out STD_LOGIC; P,Q: out STD_LOGIC_VECTOR(7 downto 0);,A,B: out STD_LOGIC_VECTOR(1 downto 0);,end entity CONTR;,architecture CONTR_ARCH of CONTR is,type STATE_TYPE is (S0,S1,S2,S3,S4,S5,S6,S7) ;signal STATE: STATE_TYPE;,begin,数字设计第5章,45,CIRCUIT_STATE: process (RST,CLK) is -,控制器状态进程,begin,if (RST=0) then STATE STATE if (T0=0) then STATE = S0;,else STATE STATE if (T0=0) then STATE = S2;,else STATE if (R0=0) then STATE = S4;,else STATE if (T0=0) then,if (L7=1) then STATE = S5;,else STATE = S4;,end if;,else STATE if (L0=0) then STATE = S6;,else STATE if (T0=1) then STATE = S0;,elsif (L7=1) then STATE = S7,else STATE PR=1;PL=R7; P=11111111; B=11;,QR=L0;QL=0; Q=11111111; A PR=1;PL=R7; P=00000000; B=11;,QR=L0;QL=0; Q=00000000; A PR=1;PL=R7; P=01010101; B=11;,QR=L0;QL=0; Q=01010101; Aif (T0=0) then,PR=1;PL=R7; P=01010101; B=10;,QR=L0;QL=0; Q=01010101; A=10;,else,PR=1;PL=R7; P=00000000; B=11;,QR=L0;QL=0; Q=00000000; A PR=1;PL=R7; P=11111111; B=01;,QR=L0;QL=0; Q=11111111; Aif (T0=0) then,PR=1;PL=R7; P=11111111; B=10;,QR=L0;QL=0; Q=11111111; A=10;,else,PR=1;PL=0; P=00000000; B=11;,QR=0;QL=1; Q=00000000; APR=1;PL=0; P=11111111; B=01;,QR=0;QL=1; Q=11111111;A PR=1;PL=0; P=11111111; B=10;,QR=0;QL=0; Q=11111111; ARST,CLK=CLK,CO=T0); -,T256S连接,u2: SR8 port map(CLK=CLK, DR=PR,DL=PL,D=P,M=B,Q=Z); -,LSR8连接,u3:SR8 portmap(CLK=CLK, DR=QR,DL=QL,D=Q,M=A,Q=Y); -,RSR8连接,u4:CONT Rportmap (RST=RST,CLK=CLK,T0=T0, L7=Z(7),L0=Z(0), R7=Y(7), R0=Y(0),PR=PR, PL=PL,QR=QR,QL=QL, P=P,Q=Q,A=A,B=B);,-,CONTR连接,end architecture LIGHT_ARCH of LIGHT;,数字设计第5章,52,2基于标准MSI器件的系统实现,图5-12 16路彩灯控制系统硬件结构框图,Z,7,Z,6,Z,5,Z,4,Z,3,Z,2,Z,1,Z,0,Y,7,Y,6,Y,5,Y,4,Y,3,Y,2,Y,1,Y,0,Q,A,Q,B,Q,C,Q,D,Q,E,Q,F,Q,G,Q,H,CLR LSR8 M,1,CP 74198 M,0,D,R,A B C D E F G H D,L,16,路彩灯,P,R,P,7,P,6,P,5,P,4,P,3,P,2,P,1,P,0,P,L,B,1,B,0,L,7,L,0,Q,R,Q,7,Q,6,Q,5,Q,4,Q,3,Q,2,Q,1,Q,0,Q,L,A,1,A,0,T0,CLK 控制器CONTR R,7,RST R,0,RST CLK(,0.5Hz,),Q,A,Q,B,Q,C,Q,D,Q,E,Q,F,Q,G,Q,H,CLR RSR8 M,1,CP 74198 M,0,D,R,A B C D E F G H D,L,1,1,CO Q,D,Q,C,Q,B,Q,A,LD,CLR 74161 P,CP DCBA T,CO Q,D,Q,C,Q,B,Q,A,LD,CLR 74161 P,CP DCBA T,1000,0000,1,1,1,1,1,定时器模块T256S,数字设计第5章,53,控制子系统设计,表5-2 74161的控制鼓励表,现态PS,条件,次态NS,工作,方式,控制信号输出,S,i,(Q,C,Q,B,Q,A,),L,7,L,0,R,0,T0,S,i,(Q,C,Q,B,Q,A,),LD,D C B A,B,1,B,0,P,R,P,7,P,6,P,5,P,4,P,3,P,2,P,1,P,0,P,L,A,1,A,0,Q,R,Q,7,Q,6,Q,5,Q,4,Q,3,Q,2,Q,1,Q,0,Q,L,S,0,(0 0 0),S,1,(0 0 1),计数,1,11111111111111111111,S,1,(0 0 1),0,S,0,(0 0 0),置数,0,0000,11000000001100000000,1,S,2,(0 1 0),计数,1,S,2,(0 1 0),S,3,(0 1 1),计数,1,11010101011101010101,S,3,(0 1 1),0,S,2,(0 1 0),置数,0,0010,1 0 R,7,1 0 0,1,S,4,(1 0 0),计数,1,11000000001100000000,S,4,(1 0 0),0,S,4,(1 0 0),置数,0,0100,0 1 1 0 1 L,0,1,S,5,(1 0 1),计数,1,S,5,(1 0 1),00,S,4,(1 0 0),置数,0,0100,1 0 R,7,1 0 0,10,S,5,(1 0 1),置数,0,0101,1,S,6,(1 1 0),计数,1,11000000001100000000,S,6,(1 1 0),0,S,6,(1 1 0),置数,0,0110,0 1 1 1 0 1,1,S,7,(1 1 1),计数,1,S,7,(1 1 1),00,S,6,(1 1 0),置数,0,0110,1 0 0 0 1 0 ,10,S,7,(1 1 1),置数,0,0111,1,S,0,(0 0 0),计数,1,数字设计第5章,54,D=0 C=Q,C,B=Q,B,A=Q,C,Q,A,L,7,P,R,=1 P,L,=(Q,C,Q,B,)R,7,Q,R,= Q,A,L,0,Q,L,= Q,A,P,7,=Q,B,+,Q,A,= P,5,= P,3,= P,1,= Q,7,= Q,5,= Q,3,= Q,1,P,6,=,Q,C,+,Q,A,= P,4,=,P,2,=,P,0,=,Q,6,=,Q,4,=,Q,2,=,Q,0,数字设计第5章,55,Q,C,Q,B,Q,A,LD,B,1,B,0,A,1,A,0,000,001,010,011,100,101,110,111,1,T0,1,T0,R,0,T0,L,0,T0,1,1,1,1,0,1,0,1,1,1,1,T0,1,T0,1,0,1,1,1,1,0,1,1,0,1,1,1,T0,1,T0,0,1,表5-3,数据选择表,数字设计第5章,56,RST,CLK,(0.5Hz),图5-13 以74161为核心构成的彩灯控制系统硬件控制器电路,1,0,=1,&,1,1,P,7,= P,5,=,P,3,=,P,1,=,Q,7,=,Q,5,=,Q,3,=,Q,1,74161,CO,CLR,CP,P,T,Q,D,Q,C,Q,B,Q,A,LD,D C B A,G MUX,74151,A,2,A,1,A,0,Y,D,0,D,1,D,2,D,3,D,4,D,5,D,6,D,7,0,1,T0,1,T0,R,0,T0,L,0,T0,G MUX,74151,A,2,A,1,A,0,Y,D,0,D,1,D,2,D,3,D,4,D,5,D,6,D,7,0,B,1,1,1,1,1,0,1,0,1,G MUX,74151,A,2,A,1,A,0,Y,D,0,D,1,D,2,D,3,D,4,D,5,D,6,D,7,0,A,1,1,1,1,1,0,1,1,0,G MUX,74151,A,2,A,1,A,0,Y,D,0,D,1,D,2,D,3,D,4,D,5,D,6,D,7,0,B,0,1,1,1,T0,1,T0,1,0,G MUX,74151,A,2,A,1,A,0,Y,D,0,D,1,D,2,D,3,D,4,D,5,D,6,D,7,0,A,0,1,1,1,T0,1,T0,0,1,L,7,R,7,P,L,Q,L,Q,R,L,0,P,R,&,1,&,1,1,P,6,= P,4,=,P,2,=,P,0,=,Q,6,=,Q,4,=,Q,2,=,Q,0,数字设计第5章,57,5.3 15位二进制数密码锁系统设计,5.3.1 系统功能与使用要求,具有密码预置功能。, 输入密码采用串行方式,输入过程中不提供密码数位信息。,当输入15位密码完全正确时,密码锁翻开。密码锁一旦翻开,只有按下RST复位键时才能脱离开锁状态,并返回初始状态。,密码输入过程中,只要输错1位密码,系统便进入错误状态。此时,只有按下RST复位键才能脱离错误状态,返回初始状态。,如果连续3次输错密码,系统将报警。一旦报警,将去除错误次数记录,且只有按下RST复位键才能脱离报警状态,返回初始状态。,数字设计第5章,58,5.3.2 系统方案设计与逻辑划分,并行比较方案,依次将键入密码移入移位存放器中保存起来,待15位密码输入完毕时,再和预置密码进行并行比较。如果输入15位密码正确,那么翻开密码锁。如果输入15位密码错误,那么不仅不能翻开密码锁,而且当连续3次输错密码时,系统还将报警。,串行比较方案,每输入1位密码,立即和预置的该位密码进行比较。如果该位密码输入正确,那么继续接收键入的密码,并在15位正确密码输入后翻开密码锁。如果输入过程中发现有1位密码输入错误,那么不再继续接收键入的密码,当连续3次输错密码时,系统报警。,数字设计第5章,59,CLK(10kHz),开锁,报警,ER4,P,ER,CLK CLR,PT K,1,ER K,0,CRE ST,M,1,RST,M,0,CLR,控制器,CONTR,P,0,LKOP,ONE LARM,CLK,D,R,M,1,M,0,D,15,Q,15,D,14,Q,14,SR16,D,1,Q,1,D,0,Q,0,CLK ONE,0,1,预置密码K,P,K,1,(,1,键),K,0,(,0,键),ST,RST,CLR,图5-14 15位二进制数密码锁系统的结构框图,启动,异步复位,系统复位,方式,ER4计数使能,ER4异步清0,次数,1,数字设计第5章,60,5.3.3 控制算法设计,Y,Y,输错不,满3次,,不报警,等待按密码键,图5-15 15位二进制数密码锁系统的ASM图,N,S,7,S,5,N,N(密码错误),Y,S,6,Y,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 商业计划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!