QuartusII软件使用及设计流程

上传人:li****i 文档编号:242990411 上传时间:2024-09-13 格式:PPT 页数:68 大小:1.90MB
返回 下载 相关 举报
QuartusII软件使用及设计流程_第1页
第1页 / 共68页
QuartusII软件使用及设计流程_第2页
第2页 / 共68页
QuartusII软件使用及设计流程_第3页
第3页 / 共68页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,Quartus II,软件及其使用,Quartus II,使用及设计流程,Quartus,是,Altera,公司推出的新一代开发软件,适合于大规模逻辑电路设计。,Quartus,支持多种编辑输入法,包括图形编辑输入法,,VHDL,、,Verilog HDL,和,AHDL,的文本编辑输入法,符号编辑输入法,以及内存编辑输入法。,Quartus,与,MATLAB,和,DSP Builder,结合可以进行基于,FPGA,的,DSP,系统开发,是,DSP,硬件系统实现的关键,EDA,工具,与,SOPC Builder,结合,可实现,SOPC,系统开发。,Quartus II,设计流程,一、 设计输入,任何一项设计都是一项工程(,Project,),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被,EDA,软件默认为工作库(,Work Library,)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。,首先建立工作库目录,以便存储工程项目设计文件。在,D,盘下新建文件夹并取名,Mydesign,。双击,QuartusII,软件启动图标,即可启动,QuartusII,软件,启动界面如图,1-2,所示。,1.,建立工程,Project,标题栏,标题栏中显示当前工程的路径和工程名。,菜单栏,菜单栏主要由文件(,File,)、编辑(,Edit,)、视图(,View,)、工程(,Project,)、资源分(,Assignments,)、操作(,Processing,)、工具(,Tools,)、窗口(,Window,)和帮助(,Help,)等下拉菜单组成。,工具栏,工具栏中包含了常用命令的快捷图标。,资源管理窗口,资源管理窗口用于显示当前工程中所有相关的资源文件。,工程工作区,当,Quartus,实现不同的功能时,此区域将打开对应的操作窗口,显示不同的内容,进行不同的操作,如器件设置、定时约束设置、编译报告等均显示在此窗口中。,编译状态显示窗口,此窗口主要显示模块综合、布局布线过程及时间。,信息显示窗口,该窗口主要显示模块综合、布局布线过程中的信息,如编译中出现的警告、错误等,同时给出警告和错误的具体原因。,使用,New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和,EDA,工具,以及目标器件系列和具体器件等。在此要利用“,New Preject Wizard”,工具选项创建此设计工程,并设定此工程的一些相关的信息,如工程名、目标器件、综合器、仿真器等。,(1),打开建立新工程管理窗。,选择菜单,FileNew Preject Wizard,命令,即弹出“工程设置”对话框(图,1-3,),以此来建立新的工程。,(2),设置工程基本信息,(,2,)将设计文件加入工程中。,可将与工程相关的所有,VHDL,文件(如果有的话)加入进此工程,单击“,Add ”,按钮,从工程目录中选出相关的,VHDL,文件;或单击,Add All,,将设定的工程目录中的所有,VHDL,文件加入到工程文件栏中。如果还没有建立,VHDL,文件,就直接点击“,Next”,即可。,(,3,)选择目标芯片。,如图,1-6,,首先在,Family,栏选芯片系列,在此选,FLEX10K,系列,并选择此系列的具体芯片,EPF10K10LC84-4,。在“,Target device”,选项下选择“,Auto device selected by the fitter”,选项,系统会自动给所设计的文件分配一个器件。如果选择“,Specific device selected in Available devices list”,选项,用户需指定目标器件。在右侧的“,Filters”,窗口“过滤”选择;,Package,表示封装;,Pin cout,表示引脚数,此例选择,84,;,Speed grade,表示速度等级,此例选择,4,。,(,4,)第三方工具选择,。,如图,1-7,所示,用户可以选择所用到的第三方工具,比如,ModleSim,、,Synplify,等。在本例中并没有调用第三方工具,可以都不选,.,(,5,)确认信息对话框,。,图,1-8,所示。建立的工程的名称、选择的器件和选择的第三方工具等信息,如果无误的话就可以单击“,Finish”,按钮,弹出如图,1-9,所示的窗口,在资源管理窗口可以看到新建的工程名称,half_add,。,当工程建立好以后,我们就可以建立设计文件。下面我们以一个半加器的,VHDL,的设计,来演示在,QuartusII,如何实现,VHDL,语言输入 。,(,1,)建立文件。,在图,1-9,中,单击“,File”,菜单下的“,New”,命令或者使用快捷键,Ctrl+N,,在弹出“,New”,对话框如图,1-10,所示。,2.VHDL,语言输入法,AHDL,文本文件,流程图和原理图文件,网表文件,在线系统文件,Verilog HDL,文本文件,VHDL,文本文件,图,1-11 VHDL,文本编辑窗口,(,2,)输入程序。,在图,1-11,中输入半加器的,VHDL,程序,如图,1-12,所示。,(,3,)保存文件。,在图,1-12,中单击保存文件按钮,,弹出对话框如图,1-13,,将输入的,VHDL,语言程序保存为,half_add.vhd,文件,注意后缀名是,.vhd,,如图,1-13,。,(,4,)编译工程。,在图,1-11,中选择菜单,Processing,下的,Start Complilation,,开始编译,并伴随着进度不断地变化,编译完成后的窗口如图,1-14,所示。如果编译过程出现错误,要将错误改正,保存后再次编译,直到编译无错误为止。到此在,QuartusII,软件中使用,VHDL,语言输入完成,接下来是将保存好的,VHDL,语言程序进行仿真,在软件上验证,VHDL,语言描述的功能是否能够达到预期目的。,设计仿真的目的就是在软件环境下,验证电路的行为和思想是否一致。,仿真分为功能仿真和时序仿真。,功能仿真是在设计输入之后,综合和布局布线之前的仿真,不考虑电路的逻辑和门电路的时间延时,着重考虑电路在理想环境下的行为和预期设计效果的一致性。,时序仿真是在综合、布局布线后,也即电路已经映射到特定的工艺环境后,考虑器件延时的情况下对布局布线的网络表文件进行的一种仿真,其中器件延时信息通过反向标注时序延时信息实现的。,二、设计仿真,(,1,)建立矢量波形文件。,File|New,选择,Other Files,,选择,Vector Waveform File,。,1.,建立仿真文件,(,2,)添加引脚或节点,。,图,1-16,,左键双击“,Name”,下方空白处,弹出“,Insert Node or Bus”,对话框,如图,1-17,所示。单击对话框“,Node Finder”,按钮后,弹出“,Node Finder”,对话框,如图,1-18,所示。,(,3,)编辑输入信号并保存文件。,在图,1-22,中单击“,Name,”,下方的“,A,”,,即选中该行的波形。在本例中将输入信号“,A,”,设置为时钟信号,单击工具栏中的,按钮,弹出“,Clock,”,对话框,此时可以修改信号的周期、相位和占空比。设置完后单击“,OK,”,按钮,输入信号“,A,”,设置完毕。同理设置其他输入信号“,B,”,,最后单击保存文件按钮,,根据提示完成保存工作,如图,1-23,所示。同时,为了方便读者熟悉其他波形编辑工具的使用,在图,1-24,中标注了其他波形编辑工具的功能。,功能仿真是忽略延时的仿真,是理想的仿真。怎么设计功能仿真?,首先在图,1-23,中单击“,Assignments”,菜单下的“,Settings”,命令,如图,1-25,,单击左侧标题栏中的“,Simulator”,选项后,在右侧的“,Simulation mode”,的下拉菜单中选择“,Functional”,选项即可(软件默认的是“,Timing”,选项),单击“,OK”,按钮后完成设置。,2.,功能仿真,后需要生成功能仿真网络表。单击“,Processing,”,菜单下的“,Generate Functional Netlist,”,命令后会自动创建功能仿真网络表,如图,1-26,所示。完成后会弹出相应提示框,单击“确定”即可。最后进行功能仿真,如图,1-27,所示。从图中可以看出仿真后的波形没有延时,半加器的两个加数作为输入信号,得到了对应的和与进位。,QuartusII,中默认的仿真为时序仿真,在图,1-23,中直接单击仿真按钮,即可。如果做完功能仿真后进行时序仿真,需要在“,Assignments,”,“,Settings,”,“,Simulator,”,选项后,在右侧的“,Simulation mode,”,的下拉菜单中选择“,Timing,”,选项即可。仿真完成后的窗口如图,1-28,所示。观察波形,可以知道输入输出之间有一定的延时。,3.,时序仿真,三、引脚锁定,引脚锁定是为了对所设计的工程进行硬件测试,将输入,/,输出信号锁定在器件的某些引脚上。单击“,Assigments”,菜单下的“,Pins”,命令,在弹出的对话框如图,1-29,所示,在下方的列表中列出了本项目所有的输入,/,输出引脚名。,在图,1-29,中,双击与输入端“,A”,对应的“,Location”,选项后弹出引脚列表,从中选择合适的引脚,则输入“,A”,的引脚锁定完毕。同理完成其他引脚的锁定,如图,1-30,。,四、编程下载,1.,编译,锁定引脚后必须再次编译,才能存储这些引脚锁定的信息,单击编译按钮执行编译。,2.,配置下载电缆,在“,Tools”,菜单下选择“,Programmer”,命令,或者直接单击工具栏上的 按钮,弹出如图,1-31,所示的页面,。,编程下载是将本次设计所生成的文件通过计算机下载到实验箱里,来验证此次设计是否能够达到预期目的。需要进行以下几个步骤:,单击“,Hardware Setup”,按钮,弹出“,Hardware Setup”,对话框,如图,1-32,所示。单击“,Add Hardware”,按钮设置下载电缆,弹出如图,1-33,所示的对话框。在 “,Hardware type”,一栏中选择“,ByteBlasterMV or ByteBlaster II”,后单击“,OK”,按钮,下载电缆配置完成。设置成如图,1-34,所示的选项后,单击 “,Close”,按钮即可。一般情况下,如果下载电缆不更换,一次配置就可以长期使用,不需要每次都设置。,3.,下载模式,JTAG,模式是软件的默认下载模式,相应的下载文件为“,.sof”,格式。在“,Mode”,一栏中还可以选择其他的下载模式,例如,,Passive Serial,、,Active Serial Programming,和,In-Socket Programming,。勾选图,1-31,中下载文件“,half_add.sof”,右侧的第一个小方框,也可以根据需要勾选其他的小方框。将下载电缆连接好后,单击“,Start”,按钮计算机就开始下载编程文件,这样在实验箱上就可以验证实验效果了。,到这里,我们都演示了,QuartusII,软件的全部使用过程,从建立工程,,VHDL,语言设计输入,设计仿真到编程下载都演示了一遍,读者跟着上面的操作,就能够到达最后的程序下载,在,EDA,实验箱上看到最终的效果。,五、原理图输入,在图,1-9,中,单击“,File”,菜单下的“,New”,命令或者使用快捷键,Ctrl+N,,在弹出“,New”,对话框如图,1-35,所示。在“,Device Design Files”,页面下双击“,Block Diagram/Schematic File”,选项(或选中该项后单击“,OK”,按钮)后建立新文件,如图,1-36,所示。,(,1,)建立文件。,(,2,)选择元件。,在原理图编辑窗中的任何一个位置上双击鼠标的左键将跳出一个元件选择窗。,基本逻辑元件库,参数可设置的强函数元件库,基本逻辑元件库中的元件,由此输入所需要的元件名,MAX+plus,库,(,3,)连接各元器件并命名。,在图,1-39,中,将光标移到,input,右侧,待变成十字形光标时,按下鼠标左键(或选中工具栏中的 工具,光标自动会变成十字形的连线状态),再将光标移动到异或门的左侧,待连接点上出现蓝色的小方块后释放鼠标左键,即可看到,input,和异或门之间有一条连线生成。,重复上面的方法将,1-39,图中各种符号连接起来,如图,1-40,所示。,双击,pin_name,使其底色变为黑色后,输入,A(,或双击,input,,弹出“,Pin Properties”,对话框,在“,Pin name”,一栏中填上名字,A),,将其他引脚使用同样的方法命名。,(,4,)保存文件。,在图,1-40,中单击保存文件按钮。在弹出的对话框中的“文件名”下,输入原理图文件的名称“,half_add.bdf”,,单击“保存”按钮即可保存文件,如图,1-41,所示。,(,5,)编译工程,在图,1-41,中单击水平工具条上的编译按钮,或选择菜单,Processing,下的,Start Complilation,,开始编译,并伴随着进度不断地变化,编译完成后的窗口如图,1-42,所示。,如果编译过程出现错误,要根据提示将错误改正,保存后再次编译,直到编译无错误为止。,到此在,QuartusII,软件中使用原理图输入设计完成,接下来是将绘制好的原理图设计进行仿真,以验证原理图设计是否能够达到预期目的。仿真方法与,VHDL,语言文件的方法一样,生成元件符号,执行,File-Create/Update-Create Symbol File for Current File,命令将本设计电路封装成一个元件符号,供以后在原理图编辑器下进行层次设计时调用。,生成元件符号,生成的符号存放在本工程目录下,文件后缀名,.bsf,编程下载对话框,1,、,JTAG,编程下载模式,Quartus II,器件编程,AS,主动串行编程式的,操作步骤如下:,(,1,),选择,Quartus,主窗口,Assignments,菜,Device,命令,进入,Settings,对话框的,Device,页面进行设,置,如右图。,2,、,AS,主动串行编,程模式,Quartus II,器件编程,2,、,AS,主动串行编程模式,(,2,)选择,Quartus,主窗口,的,Tools,菜单下的,Programmer,命令或点击图标 ,进入器件编程和配置对话框,添加硬件,选择编程模式为,Active Serial Program,;,(,3,)单击 添加相应的,counter.pof,编程文件,选中文件后的,Program/Configure,、,Verify,和,Blank Check,项,单击图标 下载设计文件到器件中,,Process,进度条中显示编程进度。下载完成后程序固化在,EPCS,中,开发板上电后,EPCS,将自动完成对目标芯片的配置,无须再从计算机上下载程序。,Quartus II,器件编程,使用,Quartus,软件的,MegaWizard Plug-In Manager,中的宏功能模块可以帮助用户调用,Quartus,内部已有的,IP,(知识产权)核来完成一些复杂系统的设计,并可以方便的对调用的,IP,核的参数进行修改。,下面以一个调用,lpm_counter,宏功能模块来实现一个十六进制的加法同步计数器为例,简单介绍宏功能模块的使用。,设计开始前新建立一个名为,counter16,的工程,在工程中新建一个新的,bdf,文件,选择,Quartus,主窗口,的,Tools,菜单下的,MegaWizard Plug-In Manager,命令,进入,MegaWizard Plug-In Manager,对话框第一页 ,然后依此进行参数的设定,Quartus II,器件编程,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 小学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!