脉冲波形产生与变换讲义课件

上传人:痛*** 文档编号:241759258 上传时间:2024-07-21 格式:PPT 页数:91 大小:5.89MB
返回 下载 相关 举报
脉冲波形产生与变换讲义课件_第1页
第1页 / 共91页
脉冲波形产生与变换讲义课件_第2页
第2页 / 共91页
脉冲波形产生与变换讲义课件_第3页
第3页 / 共91页
点击查看更多>>
资源描述
7.5 7.5 多谐振荡器多谐振荡器多谐振荡器多谐振荡器7.4 7.4 单稳态触发器单稳态触发器单稳态触发器单稳态触发器7.3 7.3 施密特触发器施密特触发器施密特触发器施密特触发器退出退出退出退出7.1 7.1 概述概述概述概述 7.2 7.2 集成集成集成集成555555定时器定时器定时器定时器 第7单元脉冲波形的产生和变换通过这一单元的学习,主要掌握如下知识:多谐振荡器、单稳态电路和施密特触发器的工作原理。能够运用555电路设计多谐振荡器、单稳态电路和施密特触发器。学习内容这是一款历史上最杰出的微芯片。555是一个简单的IC,可以作为定时器或振荡器(从几微妙到几小时)。这款微芯片成为了同类产品中最畅销的产品,很快就大规模的应用于厨房用品、玩具、太空飞船以及成千上万的其它产品上。n555在1971年投入市场,当时在市场上引起了轰动。西格尼蒂克公司在1975年被飞利浦半导体公司收购,也就是现在的恩智浦半导体。555销售量达到了数十亿部。目前工程师仍然在使用555设计一些有用的模块n1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。n2.它的操作电源范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出电平及输入触发电平,均能与这些系列逻辑电路的高、低电平匹配。n3.其输出端的供给电流大,可直接推动多种自动控制的负载。n4.它的计时精确度高、温度稳定度佳,且价格便宜。1常见脉冲波形:2常用的脉冲参数:7.1概述 7.2集成555定时器 1555定时器的电路结构定时器的电路结构 低电平触发端高电平触发端电压控制端复位端低电平有效放电端4.516V一、一、555定时器的电路结构定时器的电路结构7.2集成555定时器电压比较器的功能:v+v-,vO=1 v+v-,vO=0由以下几部分组成:由以下几部分组成:(1)三三个个5k电电阻阻组组成的分压器。成的分压器。(2)两个电压比较器)两个电压比较器 C1和和C2。电源复位,低电平有效高电平触发端电压控制端低电平触发端放电端CC&1RSG5k5k5k12VRTHvCCD(2)(6)(5)(8)(4)(3)O(7)vT,(1)CO(3)基本RS触发器,(4)放电三极管T及缓冲器G。电路符号12658437Ov,THvICVCCvO555DR电源复位,低电平有效高电平触发端电压控制端低电平触发端放电端CC&1RSG5k5k5k12VRTHvCCD(2)(6)(5)(8)(4)(3)O(7)vT,(1)CO001R=0时,Q=1,uo=0,T导通。CC&1RSG5k5k5k12VRTHvCCD(2)(6)(5)(8)(4)(3)O(7)vT,(1)COR=0时,Q=1,uo=0,T饱和导通。R=1、UTH2VCC/3、UTRVCC/3时,C1=0、C2=1,Q=1、Q=0,uo=0,T饱和导通。2VCC/3VCC/300011CC&1RSG5k5k5k12VRTHvCCD(2)(6)(5)(8)(4)(3)O(7)vT,(1)COR=0时,Q=1,uo=0,T饱和导通。R=1、UTH2VCC/3、UTRVCC/3时,C1=0、C2=1,Q=1、Q=0,uo=0,T饱和导通。2VCC/3VCC/310011R=1、UTH2VCC/3、UTRVCC/3时,C1=1、C2=1,Q、Q不变,uo不变,T状态不变。110CC&1RSG5k5k5k12VRTHvCCD(2)(6)(5)(8)(4)(3)O(7)vT,(1)COR=0时,Q=1,uo=0,T饱和导通。R=1、UTH2VCC/3、UTRVCC/3时,C1=0、C2=1,Q=1、Q=0,uo=0,T饱和导通。2VCC/3VCC/311100R=1、UTH2VCC/3、UTRVCC/3时,C1=1、C2=1,Q、Q不变,uo不变,T状态不变。R=1、UTH2VCC/3、UTRVCC/3时,C1=1、C2=0,Q=0、Q=1,uo=1,T截止。CC&1RSG5k5k5k12VRTHvCCD(2)(6)(5)(8)(4)(3)O(7)vT,(1)CO2工作原理 想一想:555电路的主要功能是什么,放电端如果并联在充放电回路上的电容两端可能会出现什么现象?7 7.3.13.1用用用用555555定时器构成的施密特触发器定时器构成的施密特触发器定时器构成的施密特触发器定时器构成的施密特触发器 7 7.3.3 3.3 施密特触发器的应用举例施密特触发器的应用举例施密特触发器的应用举例施密特触发器的应用举例 退出退出退出退出7.3.2 7.3.2 集成施密特触发器集成施密特触发器集成施密特触发器集成施密特触发器 7.3施密特触发器施密特触发器我们知道,门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。n施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压(VT+),在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压(VT-)。n正向阈值电压与负向阈值电压之差称为回差电压()。普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线则是滞回的施密特触发器1 1、施密特触发器、施密特触发器电压传输特性电压传输特性及工作特点:及工作特点:施密特触发器属于电平触发器件,当输入信号达到某一定电压施密特触发器属于电平触发器件,当输入信号达到某一定电压值时,输出电压会发生突变。值时,输出电压会发生突变。电路有两个阈值电压。电路有两个阈值电压。输入信号增加和减少时,电路的阈值输入信号增加和减少时,电路的阈值电压分别是正向阈值电压电压分别是正向阈值电压(VT+)和负阈值电压()和负阈值电压(VT-)。同相输出施密特触发器同相输出施密特触发器反相输出施密特触发器反相输出施密特触发器 oVT+vO VOH VOL VT-vI 7.2.3 施密特触发器的应用施密特触发器的应用 VT+VT_v vT+T+v vT-T-1.1.波形变换波形变换 2.波形的整形波形的整形v vo ov vI I合理选择回差电压,可消除干扰信号。合理选择回差电压,可消除干扰信号。3.3.消除干扰信号消除干扰信号 o oI I4.幅度鉴别幅度鉴别 施密特触发器具有回差电压特性,能将边沿变化缓慢的电压波形整形为边沿陡峭的矩形脉冲。7.3.1用555定时器构成的施密特触发器1.电路组成及工作原理1/3VCC2/3VCC1265VCCRDO5553Ov7vTRIC8412IvCCVVCC2Rv电路符号电路符号2/3V放电端1/3VCv5kS1(2)(7)(6)&(3)GV&TRO1CC(4)TCCC(8)5k5k2RCC(1)&1VO21RvIvCC22.电压滞回特性和主要参数电压滞回特性和主要参数(2)主要静态参数(a)上限阈值电压VT+vI上升过程中,输出电压vO由高电平VOH跳变到低电平VOL时,所 对 应 的 输 入 电 压 值。VT+=2/3VCC。(b)下限阈值电压VT vI下降过程中,vO由低电平VOL跳变到高电平VOH时,所对应的输入电压值。VT=1/3VCC。(3)回差电压VTVT=VT+VT=1/3VCC(1)电压滞回特性)电压滞回特性1/3VCCVoVi0传输特性传输特性VOHVOL2/3VCCVT1/3VCC2/3VCC6.2.2 6.2.2 集成施密特触发器集成施密特触发器集成施密特触发器集成施密特触发器一、一、CMOS 集成施密特触发器集成施密特触发器(一一)引出端功能图引出端功能图CC401061A1Y2A2Y3A3Y6A6Y5A5Y4A4YVDDVSS1234567141312111098CC40933A3B3Y4Y4A4BVDDVSS12345671413121110 9 81A1Y1B2A2Y2B(二)(二)主要静态参数主要静态参数CC40106、CC4093 的主要静态参数的主要静态参数电参数电参数名称名称符号符号测试条件测试条件参参 数数单位单位VDD最小值最小值最大值最大值上限阈上限阈值电压值电压UT+510152.24.66.83.67.110.8V下限阈下限阈值电压值电压UT510150.92.54 2.85.27.4V滞回滞回电压电压 UT510150.31.21.61.63.45V7.3.3 施密特触发器的应用举例施密特触发器的应用举例1.用作接口电路将缓慢变化的输入信号,转换成为符合TTL系统要求的脉冲波形。2.用作整形电路把不规则的输入信号整形成为矩形脉冲。输入输出VT+VT-3.用于脉冲鉴幅从一系列幅度不同的脉冲信号中,选出那些幅度大于VT+的输入脉冲。7.4.1 7.4.1 用用用用555555定时器的单稳态触发器定时器的单稳态触发器定时器的单稳态触发器定时器的单稳态触发器 7.4.3 7.4.3 单稳态触发器的应用单稳态触发器的应用单稳态触发器的应用单稳态触发器的应用 退出退出退出退出7.4.2 7.4.2 集成单稳态触发器集成单稳态触发器集成单稳态触发器集成单稳态触发器 7.4 单稳态触发器单稳态触发器单稳态电路控制应用事例自动门楼道自停照明灯照相机自拍电路自动烘手机 什么是单稳态电路所谓单稳态电路就是具有两种状态的电路,其中一种是稳定的状态(稳态),另一种是暂时稳定的状态(暂稳态)。就像弹簧门一样。弹簧门的关门是它的稳定状态,开门是它的暂时稳定状态,外力一撤,门就会自动恢复稳定状态。如果用单稳态电路控制门,那就不需要弹簧了,开门后,过几秒钟(时间由你定)门会自动关上,如果在单稳态电路的输入端加上红外传感器,那门就是全自动的了。7.4 单稳态触发器单稳态触发器 单稳态触发器有一个稳态和一个暂稳态;在触发脉冲作用下,由稳态翻转到暂稳态;暂稳状态维持一段时间后,自动返回到稳态。(1)无触发信号输入时电路工作在稳定状态当vI=1时,电路工作在稳定状态,即vO=0,vC=0。7.4.1 用用555定时器组成单定时器组成单稳态触发器稳态触发器1.电路组成及工作原理电路组成及工作原理26VCCRDO5553TH84v7VCCC15vCvIRC0.011F(2)vI下降沿触发 当vI下降沿到达时,vO由0跳变为1,电路由稳态转入暂稳态。26VCCRDO5553TH84v7VCCC15vCvIRC0.011F(3)V1/3VCCSCC(8)&C&C(7)I5k放电端5k(1)C2/3VGR5kvv1CR2v(6)CC1T&(2)TH(4)O(3)暂稳态的维持时间在暂稳态期间,三极管T截止,VCC经R向C充电。时间常数1=RC,vC由0V开始增大,在vC上升到2/3VCC之前,电路保持暂稳态不变。(4)自动返回时间当vC上升至2/3VCC时,vO变0,电路由暂稳态重新转入稳态。(5)恢恢复复过过程程当当暂暂稳稳态态结结束束后后,C通通过过饱饱和和导导通通的的T放放电电,时时间间常常数数 2=RCESC,由由于于RCES很很小小,所所以以放放电电很很快快。C放放电完毕,恢复过程结束。电完毕,恢复过程结束。2.主要参数估算主要参数估算(1)输出脉冲宽度Tw(用三要素法计算)(2)恢复时间tretre=(35)2(3)最高工作频率fmaxvI周期的最小值:Tmin=tWtre最高工作频率:TTW单稳态触发器单稳态触发器特点特点:1.只有两种状态只有两种状态:稳态稳态和和暂稳态;暂稳态;2.外来触发外来触发(窄窄)脉冲使脉冲使:稳态稳态暂稳态暂稳态稳态;稳态;3.暂稳态是一个不能长久保持的状态,经过一段时间暂稳态是一个不能长久保持的状态,经过一段时间后,电路会自动返回到稳态。暂稳态的持续时间与触后,电路会自动返回到稳态。暂稳态的持续时间与触发脉冲无关,仅决定于电路本身的参数。发脉冲无关,仅决定于电路本身的参数。用途;用途;定时:产生一定宽度的方波。定时:产生一定宽度的方波。延时:将输入信号延迟一定时间后输出。延时:将输入信号延迟一定时间后输出。整形:把不规则波形变为宽度、幅度都相等的脉冲。整形:把不规则波形变为宽度、幅度都相等的脉冲。一、微分型单稳态触发器一、微分型单稳态触发器t11、电路组成、电路组成uouo1RrCr12uiRCu1u2为保证稳态时门为保证稳态时门1导通、门导通、门2截止截止Rr开门电阻开门电阻2K(选为(选为4.7K)R关门电阻关门电阻0.7K(选为(选为330)2、工作原理、工作原理(1)稳定状态)稳定状态门门1导通,门导通,门2截止。截止。u1=1.4v,uo1=0.3vuC=-0.1v0v0tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6v输出为高输出为高 一、微分型单稳态触发器一、微分型单稳态触发器t11、电路组成、电路组成uouo1RrCr12uiRCu1u2(2)触发翻转)触发翻转第一次翻转第一次翻转 2、工作原理、工作原理0tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6v正反馈的结果使门正反馈的结果使门1截止,门截止,门2导导通。输出为低,进入暂稳态。通。输出为低,进入暂稳态。正反正反馈馈uO1一、微分型单稳态触发器一、微分型单稳态触发器t11、电路组成、电路组成uouo1RrCr12uiRCu1u2(3)暂稳态阶段)暂稳态阶段2、工作原理、工作原理0tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6vuo1为高电平,为高电平,C经经R放电,放电,u2,直到,直到u2降到门坎电平降到门坎电平1.4v以以前,电路一直维持为暂稳态。前,电路一直维持为暂稳态。一、微分型单稳态触发器一、微分型单稳态触发器t11、电路组成、电路组成uouo1RrCr12uiRCu1u2(4)自动返回)自动返回第二次翻转第二次翻转 2、工作原理、工作原理0tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6v当当u2降到稍小于降到稍小于1.4v时时uOuo1 u2 正反馈的结果使门正反馈的结果使门1导通,门导通,门2截截止。输出为高,进入稳态。止。输出为高,进入稳态。一、微分型单稳态触发器一、微分型单稳态触发器t11、电路组成、电路组成uouo1RrCr12uiRCu1u2(5)恢复阶段)恢复阶段2、工作原理、工作原理0tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6v电容上的电荷经电容上的电荷经R和门和门1的输出的输出电阻电阻RO放电,直到放电,直到u2=0.4v时结时结束。束。当当ui的下降沿再一次到来时,的下降沿再一次到来时,电路重复上述过程。电路重复上述过程。一、微分型单稳态触发器一、微分型单稳态触发器1、电路组成、电路组成uouo1RrCr12uiRCu1u23、说明、说明(1)当当输输入入脉脉冲冲宽宽度度大大于于tW时时,输入微分电路是必要的。输入微分电路是必要的。当输入脉冲宽度小于当输入脉冲宽度小于tW时,输入时,输入微分电路可以省去。微分电路可以省去。t10tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6vuouo112uiRCu2一、微分型单稳态触发器一、微分型单稳态触发器1、电路组成、电路组成uouo1RrCr12uiRCu1u23、说明、说明t10tuiu1uo1u20t0t0t0tuo0.4v0.3v3.6v1.4v3.6v(2)电路的改进)电路的改进脉冲宽度可脉冲宽度可以在大范围内调节。以在大范围内调节。uo12uiRC+5v二、微分形单稳态触发器:1、逻辑电路:2、分析:稳态:未加入负脉冲时ui1为1,第一个与非门输出uo1为0,第二个与非门输出uo2为1,稳态下,电容充放电结束,无电流,电阻上无电压uR=0。2、分析:暂稳态:输入加负脉冲(宽度很窄)ui1下跳为0,第一个与非门输出uo1为1,由于电容电压不能突变,所以uR也随之为1,第二个与非门输出uo2为0。uo1经C-R-地对电容充电,uR随之下降,到与非门的关闭电压时,第二个与非门关闭,输出为1。2、分析:恢复:输入负脉冲结束后,ui1已经恢复为1,输出也为1,第一个与非门输出uo1为0。同理,电容电压不能突变,uR也随之为0,输出保持为1,电容储存的电量通过电阻放电,结束后,uR为0(电阻上无压降),电路恢复稳态,准备接受下一个负脉冲输入。3、波形图:4、输出脉冲宽度:7.4.2 7.4.2 集成单稳态触发器集成单稳态触发器7412174121A1、A2是下沿有效的触发信号输入端,B是上沿有效的触发信号输入端。保持稳态010101010101011下沿触发11111上沿触发工作特征vOvO输出00A1A1B输入74121功能表功能表1.TTL集成单稳态触发器74121的逻辑功能和使用方法 3.关于集成单稳态触发器的重复触发问题 集成单稳有不可重复触发型和可重复触发型两种。不可重复触发的单稳一旦被触发进入暂稳态以后,再加入触发脉冲不会影响电路的工作过程,必须在暂稳态结束以后,它才能接受下一个触发脉冲而转入下一个暂稳态。而可重复触发的单稳态在电路被触发而进入暂稳态以后,如果再次加入触发脉冲,电路将重新被触发,使输出脉冲再继续维持一个tW宽度。74121、74221、74LS221都是不可重复触发的单稳态触发器。属于可重复触发的触发器有74122、74LS122、74123、74LS123等。7.4.3 单稳态触发器的应用单稳态触发器的应用1.延时与定时(1)延时图中,v/O的下降沿比vI的下降沿滞后了时间tW。(2)定时当v/O=1时,与门打开,vO=vF。当v/O=0时,与门关闭,vO为低电平。与门打开的时间是单稳输出脉冲v/O的宽度tW。2.整形整形单稳态触发器能够把不规则的输入信号vI,整形成为幅度和宽度都相同的标准矩形脉冲vO。vO的幅度取决于单稳态电路输出的高、低电平,宽度tW决定于暂稳态时间。555定时器构成单稳态触发器。只要用手触摸一下金属片P,由于人体感应电压相当于在触发输入端(管脚2)加入一个负脉冲,555输出端输出高电平,灯泡(RL)发光,当暂稳态时间(tW)结束时,555输出端恢复低电平,灯泡熄灭。该触摸开关可用于夜间定时照明,定时时间可由RC参数调节。3.触摸定时控制开关触摸定时控制开关84762153555+VCCRLR100kC100C10.01(+6V)Pn人体类似一个天线,现在有很多触摸开关是靠人体杂波来打开或关闭的n市电电源在灯的附近是必定存在的,电力线也产生很强的电磁辐射,事实上,人体触发它的时候,主要是人体接收到的交流感应信号(50Hz)作用在上边而触发的。4.触摸、声控双功能延时灯触摸、声控双功能延时灯555和T1、R3、R2、C4组成单稳定时电路,定时(即灯亮)时间约为1分钟。当击掌声传至压电陶瓷片时,HTD将声音信号转换成电信号,经T2、T1放大,触发555,使555输出高电平,触发导通晶闸管SCR,电灯亮;同样,若触摸金属片A时,人体感应电信号经R4、R5加至T1基极,也能使T1导通,触发555,达到上述效果。7 7.5.15.1用用用用555555定时器构成的多谐振荡器定时器构成的多谐振荡器定时器构成的多谐振荡器定时器构成的多谐振荡器 7 7.5.2 5.2 多谐振荡器应用实例多谐振荡器应用实例多谐振荡器应用实例多谐振荡器应用实例 退出退出退出退出7.5 多谐振荡器多谐振荡器0vctvo0t7.5.1用555定时器构成的多谐振荡器多谐振荡器多谐振荡器能产生矩形脉冲波的自激振荡器。能产生矩形脉冲波的自激振荡器。一一电路组成及工作原理电路组成及工作原理26VCCRDO5555553TH84v7RRVCC12C150.01FC1vCP2/3VCC1/3VCC放电端2/3V1/3VEWB演示演示555组成多谐振荡器组成多谐振荡器(6)(2)5k1RT5k&C&1GSC25k(4)O(3)(7)v(1)(8)CCVvC1PRRC2THCCCC2.振荡频率的估算振荡频率的估算(1)电容充电时间T1:(用三要素法计算)(2)电容放电时间T2(3)电路振荡周期T T=T1+T2=0.7(R1+2R2)C(5)输出波形占空比q(4)电路振荡频率fTT1T20vctvo0t2/3VCC1/3VCC 3 占空比可调的多谐振荡器电路占空比可调的多谐振荡器电路 利用二极管的单向导电性,把电容C充电和放电回路隔离开,再加上一个电位器,便可构成占空比可调的多谐振荡器。可计算得:T1=0.7R1C T2=0.7R2C占空比:26VCCRDO5553TH84v7VCCC150.01FC1vCDD121R2R752 多谐振荡器应用实例多谐振荡器应用实例1.简易温控报警器简易温控报警器26VCCRD5553TH847RRVCC12C150.01C110/10VC220k100k0.013R2k(+6V)T3AX31轮盘赌一、基本部分:1.采用一片时基555制作一个简易的交通灯控制器,对红绿灯进行切换,要求红灯3s,绿灯5s;2.红灯和绿灯的时间在110s内可以单独调节。简易交通灯控制器的制作2.步进脉冲产生电路步进脉冲产生电路 本单元学习指导 本章学习的重点是双稳态电路、单稳态电路、多谐振荡器、和施密特电路的特点。重点介绍了555定时器的应用。本章的难点是对多谐振荡器的工作原理、施密特触发器的回差特性的理解以及对常用脉冲电路输出波形的分析。施密特触发器和单稳态触发器是最常用的两种脉冲整形电路。其输出脉冲的宽度由输入信号决定。它的输出波形的边沿陡峭。单稳态触发器输出信号主要参数是脉宽与输入信号无关。输出信号只起触发作用。因此,单稳态触发器可用于产生固定宽度的脉冲信号。本单元学习指导 多谐振荡器是一种常用的自激脉冲振荡电路。它没有稳态,只有两个暂稳态。无需外加输入信号,只要接通电源,就能自动产生矩形脉冲。其主要参数是重复周期。它主要用于脉冲信号源和电子自动开关等。555定时器应用广泛,使用方便,除了构成单稳、多谐和施密特电路外,还可以接成其它各种应用电路。使用时应注意的是CMOS、555/556型在绝大多数场合可直接代替双极型555/556型使用,且多数参数得以改善。但CMOS型的驱动电流较双极型的要小,替换时必须注意查阅有关器件手册。实验七 时基电路 一、实验目的 1.掌握555时基电路的结构和工作原理,学会对此芯片的正确使用。2.学会分析和测试用555时基电路构成的多谐振荡器,单稳态触发器,施密特触发器等三种典型电路。二、实验仪器及材料 1.双踪示波器2数字电路实验箱3.器件:NE556,(或 LM556,5G556等)双 时 基 电 路 1片 二极管1N41482只电位器 22K、1K2只电阻、电容 若干扬声器 一只KD-9300系列音乐集成块 一块小型无锁按键开关9013型硅NPN三极管,要求100。三、实验内容 1.555时基电路构成的多谐振荡器 电路如图所示。(1)按图接线。图中元件参数如下:R1=15K R2=5K1 C1=0.33ufC2=0.047uf(2)用示波器或指示灯观察并测量OUT端波形的频率,并和理论估算值比较,算出频率的相对误差值。(3)若将电阻值改为R1=15K,R2=10K,电容C不变,上述的数据有何变化?(4)根据上述电路的原理,充电回路的支路是R1R2C1,放电回路的支路是R2C1,将电路略作修改,增加一个电位器RW和两个引导二极管,构成图7.28所示的占空比可调的多谐振荡器。其占空比q为:改变RW的位置,可调节q值。合理选择元件参数?(电位器选用22K),使电路的占空比q=0.2,且正脉冲宽度为0.2ms。调试电路,测出所用元件的数值,估算电路的误差。1.555时基电路构成的多谐振荡器 2.555构成的单稳态触发器 单稳态触发器电路实验电路(1)图中R=10K,C1=6200PF,VI 是频率约为10KHz左右的方波时,用双踪示波器观察OUT端相对于Vi的波形,并测出输出脉冲的宽度TW。(2)调节Vi的频率,分析并记录观察到的OUT端波形的变化。(3)若想使TW=10us,怎样调整电路?测出此时各有关的参数值。3.应用电路 用时基电路组成警铃电路(1)上图所示用556的两个时基电路构成低频对高频调制的救护车警铃电路。v参考实验内容2确定图中未定元件参数。v按图接线,注意扬声器先不接。v用示波器观察输出波形并记录。v接上扬声器,调整参数到声响效果满意。(2)用555电路构成音乐传花游戏机电路。555电路的脚先不与音乐集成块的VDD相连,接通电源。观察LED发不发光。按一下SB按键,随即放开,观察发光二极管LED的发光现象。若电路装焊正常,则当按下SB按键时,LED将会发光,经一段时间后,自动熄灭。否则,检查电路是否存在安装焊接的错误。长时间按压SB不放,观察LED的发光现象,想想原因。断开电源,把555电路的脚与音乐集成块的VDD相连。接通电源,按一下SB按键,正常应见到LED发光,同时,嗽叭发出音乐声,经一段时间后,LED不再发光,喇叭不再发声.调节RP的位置,观察发光二极管发光和喇叭发声的现象有何变化,想想原因。4 时基电路使用说明555定时器的电源电压范围较宽,可在+5 +16V范围内使用(若为CMOS的555芯片则电压范围在+3 +18V内)。电路的输出有缓冲器,因而有较强的带负载能力,双极性定时器最大的灌电流和拉电流都在200mA左右,因而可直接推动TTL或CMOS电路中各种电路,包括能直接推动蜂鸣器等器件。本实验所使用的电源电压VCC=+5V。四、实验报告 1.按实验内容各步要求整理实验数据。2.画出实验内容1和2中的相应波形。3.画出实验内容3最终调试满意的电路图并标出各元件参数。4.总结时基电路基本电路及使用方法。v5在音乐传花游戏机中长时间按压SB按键开关不放时,会出现什么情况,为什么?五、想想做做 1触摸自熄电路 触摸自熄电路如图2简易简易电子琴电路电路用555电路设计一个简易电子琴电路,当按压不同键时,会对应产生1,2,3,4,5,6,7的音调。在普通台灯上增加少量电子元件,可使台灯具有触摸自熄功能。使用时,只要用手摸一下台灯上的金属装饰件,台灯就能自动点燃,几分钟后,它又自动熄灭。为了保证使用者的绝对安全,R4、R5采用了高阻值电阻器,最好用RJ-1/4W型金属膜电阻器,R1、R2、R3可用普通RTS-1/8W碳膜电阻器;其他元器件的选择见上图。本本单元结束结束返回本返回本单元目录目录退出退出
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!