哈尔滨工业大学电工学_门电路和组合逻辑电路

上传人:可**** 文档编号:239857270 上传时间:2024-02-25 格式:PPTX 页数:166 大小:3.20MB
返回 下载 相关 举报
哈尔滨工业大学电工学_门电路和组合逻辑电路_第1页
第1页 / 共166页
哈尔滨工业大学电工学_门电路和组合逻辑电路_第2页
第2页 / 共166页
哈尔滨工业大学电工学_门电路和组合逻辑电路_第3页
第3页 / 共166页
点击查看更多>>
资源描述
会计学1哈尔滨工业大学电工学哈尔滨工业大学电工学_门电路和组合逻门电路和组合逻辑电路辑电路第1页/共166页第第2020章章 门电路和组合逻辑电路门电路和组合逻辑电路20.1 20.1 脉冲信号脉冲信号20.20.2 2 基本门电路及其组合基本门电路及其组合20.20.3 TTL3 TTL门电路门电路20.20.4 4 CMOS门电路门电路20.20.5 5 逻辑代数逻辑代数20.20.6 6 组合逻辑电路的分析与综合组合逻辑电路的分析与综合20.20.7 7 加法器加法器20.20.8 8 编码器编码器20.20.9 9 译码器和数字显示译码器和数字显示20.120.10 0 数据分配器及数据选择器数据分配器及数据选择器第2页/共166页1.1.掌握基本门电路的逻辑功能、逻辑符号、真值掌握基本门电路的逻辑功能、逻辑符号、真值掌握基本门电路的逻辑功能、逻辑符号、真值掌握基本门电路的逻辑功能、逻辑符号、真值表和逻辑表达式。了解表和逻辑表达式。了解表和逻辑表达式。了解表和逻辑表达式。了解 TTLTTL门电路、门电路、门电路、门电路、CMOSCMOS门门门门电路的特点。电路的特点。电路的特点。电路的特点。3.3.会分析和设计简单的组合逻辑电路。会分析和设计简单的组合逻辑电路。会分析和设计简单的组合逻辑电路。会分析和设计简单的组合逻辑电路。4.理解加法器、编码器、译码器等常用组合逻辑理解加法器、编码器、译码器等常用组合逻辑理解加法器、编码器、译码器等常用组合逻辑理解加法器、编码器、译码器等常用组合逻辑 电路的工作原理和功能。电路的工作原理和功能。电路的工作原理和功能。电路的工作原理和功能。5.5.学会数字集成电路的使用方法。学会数字集成电路的使用方法。学会数字集成电路的使用方法。学会数字集成电路的使用方法。本章要求本章要求2.2.掌握用逻辑代数的基本运算法则和卡诺图化简掌握用逻辑代数的基本运算法则和卡诺图化简掌握用逻辑代数的基本运算法则和卡诺图化简掌握用逻辑代数的基本运算法则和卡诺图化简逻辑函数的方法。逻辑函数的方法。逻辑函数的方法。逻辑函数的方法。第3页/共166页20.1 20.1 脉冲信号脉冲信号20.1.1 20.1.1 电子电路中的信号电子电路中的信号模拟信号模拟信号数字信号(脉冲信号)数字信号(脉冲信号)时间上连续变化的时间上连续变化的时间和幅度都是跳变的时间和幅度都是跳变的处理此类信号的处理此类信号的电路电路模拟电路模拟电路处理此类信号的处理此类信号的电路电路数字电路数字电路特点:特点:注重电路的输出与输注重电路的输出与输 入的大小、相位关系入的大小、相位关系特点特点:注重电路的输出与注重电路的输出与输入的逻辑关系输入的逻辑关系返回目录返回目录第4页/共166页20.1.2 20.1.2 脉冲信号的波形及参数脉冲信号的波形及参数脉冲是一种脉冲是一种跃变跃变信号信号,并且并且持续时间短暂持续时间短暂矩形波矩形波尖顶波尖顶波返回目录返回目录第5页/共166页实际矩形波的特征实际矩形波的特征脉冲幅度脉冲幅度信号变化的最大值信号变化的最大值0.9A0.1Atf脉冲上升沿脉冲上升沿tr0.5Atp脉冲下降沿脉冲下降沿脉冲宽度脉冲宽度返回目录返回目录第6页/共166页正脉冲正脉冲负脉冲负脉冲脉冲信号变化后的电脉冲信号变化后的电平值比初始电平值高平值比初始电平值高脉冲信号变化后的电脉冲信号变化后的电平值比初始电平值低平值比初始电平值低返回目录返回目录第7页/共166页20.1.3 20.1.3 脉冲信号的逻辑状态脉冲信号的逻辑状态脉冲信号的状态脉冲信号的状态高电平高电平 用用1 1 表示表示低电平低电平 用用0 0 表示表示返回目录返回目录第8页/共166页20.2 20.2 基本门电路及其组合基本门电路及其组合 UiUoKUccRK开开输出高电平输出高电平K闭闭输出低电平输出低电平输入输入信号信号控制控制开关开关状态状态可用二极管和三极管代替可用二极管和三极管代替返回目录返回目录20.220.2.1.1 晶体管的开关作用晶体管的开关作用第9页/共166页R1.二极管的开关特性二极管的开关特性导通导通截止截止相当于相当于相当于相当于开关断开开关断开开关断开开关断开相当于相当于相当于相当于开关闭合开关闭合开关闭合开关闭合S3V0VSRRD3V0V20.2 20.2 基本门电路及其组合基本门电路及其组合20.220.2.1.1 晶体管的开关作用晶体管的开关作用第10页/共166页2.三极管的开关特性三极管的开关特性饱和饱和截止截止截止截止3V0VuO 0相当于相当于相当于相当于开关断开开关断开开关断开开关断开相当于相当于相当于相当于开关闭合开关闭合开关闭合开关闭合uO UCC+UCCuiRBRCuOTuO+UCCRCECuO+UCCRCEC3V0V第11页/共166页例:如图所示电路中,如图所示电路中,当输入电压当输入电压分别为分别为和和时,时,试问晶体管试问晶体管处于何种工作状态?处于何种工作状态?返回目录返回目录第12页/共166页解:解:当当时,时,晶体管已处于深度饱和状态。晶体管已处于深度饱和状态。晶体管临界饱和时的基极电流晶体管临界饱和时的基极电流当当时,时,晶体管处于放大状态晶体管处于放大状态晶体管可靠截止。晶体管可靠截止。当当时,时,返回目录返回目录第13页/共166页20.20.2 2.2 2 门电路的基本概念门电路的基本概念不不 满满 足足 条条 件件的的 电电 信信 号号能够通过能够通过“门门”不能够通过不能够通过“门门”满足条件的电信号就是一种开关用电路做成这用电路做成这种开关种开关称为称为“门电路门电路”结论结论:门电路输入信号与输出信号之间存在一定的逻辑关系门电路输入信号与输出信号之间存在一定的逻辑关系返回目录返回目录第14页/共166页门电路门电路的输入和输出信号都是用门电路的输入和输出信号都是用电位电位(或叫(或叫电平电平)高低表示)高低表示负逻辑负逻辑正逻辑正逻辑高电平用高电平用“1”表示表示低电平用低电平用“0”表示表示高电平用高电平用“0”表示表示低电平用低电平用“1”表示表示输入输入信号信号输出输出信号信号返回目录返回目录第15页/共166页1.“与与”门(门(“与与”逻辑)逻辑)A、B、C 都满足一定条件时,事件都满足一定条件时,事件Y 才发生。才发生。EYABCYABC 灯灯Y亮的条件亮的条件:A“与与”B“与与”C 同时接通同时接通A1、B1、C1Y1A、B、C有一个为有一个为0Y0逻辑乘逻辑乘 逻辑逻辑与与返回目录返回目录第16页/共166页2.“或或”门(门(“或或”逻辑)逻辑)A、B、C 只要有一个满足条件时只要有一个满足条件时,事件事件Y 就发生就发生.AEYBC 灯灯Y亮的条件亮的条件:A“或或”B“或或”C只要有一个接通只要有一个接通A1“或或”B1“或或”C1Y1A、B、C 都为都为0Y0Y=A+B+C逻辑加逻辑加 逻辑逻辑或或返回目录返回目录第17页/共166页3.“非非”门(门(“非非”逻辑)逻辑)A 满足条件时,事件满足条件时,事件Y 不发生不发生A 不满足条件时,事件不满足条件时,事件Y 发生发生AEYR灯灯Y亮的条件:亮的条件:A 不接通不接通A0Y1A1Y0 灯灯Y不亮的条件:不亮的条件:A 接通接通逻辑非逻辑非返回目录返回目录第18页/共166页1.二极管二极管“与与”门电路门电路(1 1)电路电路电路电路(2 2)工作原理)工作原理)工作原理)工作原理输入输入A、B、C全为高电平全为高电平“1”,输出输出 Y 为为“1”。输入输入A、B、C不全为不全为“1”,输出输出 Y 为为“0”。0V0V0V0V0V3V+U 12VRDADCABYDBC3V3V3V0V00000010101011001000011001001111ABYC“与与与与”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表0V3V20.20.2 2.3 3 分立元件基本逻辑门电路分立元件基本逻辑门电路第19页/共166页(3 3)逻辑关系:逻辑关系:逻辑关系:逻辑关系:“与与与与”逻辑逻辑即:有即:有“0”出出“0”,全全“1”出出“1”Y=A B C(4 4)逻辑表达式:)逻辑表达式:)逻辑表达式:)逻辑表达式:(5 5)逻辑符号:)逻辑符号:)逻辑符号:)逻辑符号:&ABYC00000010101011001000011001001111ABYC“与与与与”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表第20页/共166页2.二极管二极管“或或”门电路门电路(1 1)电路电路电路电路0V0V0V0V0V3V3V3V3V0V00000011101111011001011101011111ABYC“或或或或”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表3V3V-U 12VRDADCABYDBC(2 2)工作原理工作原理工作原理工作原理输入输入A、B、C全为低电平全为低电平“0”,输出输出 Y 为为“0”。输入输入A、B、C有一个为有一个为“1”,输出输出 Y 为为“1”。第21页/共166页(3)逻辑关系逻辑关系:“或或或或”逻辑逻辑即:有即:有“1”出出“1”,全全“0”出出“0”Y=A+B+C(4 4)逻辑表达式:)逻辑表达式:)逻辑表达式:)逻辑表达式:(5 5)逻辑符号:)逻辑符号:)逻辑符号:)逻辑符号:ABYC 100000011101111011001011101011111ABYC“或或或或”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表第22页/共166页3.三极管三极管“非非”门电路门电路+UCC-UBBARKRBRCYT 1 0截止截止截止截止饱和饱和(3)逻辑表达式:逻辑表达式:Y=A“0”10“1”(1 1)电路电路电路电路“0”“1”AY“非非非非”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表(2)逻辑符号)逻辑符号1AY第23页/共166页基基本本门门电电路路“非非”门电路门电路“或或”门电路门电路“与与”门电路门电路不同组合不同组合组合电路组合电路DY+12V+3VDADBAB+12VDCCRKRBRRC与与门门非门非门与非门与非门返回目录返回目录20.20.2 2.4 4 基本逻辑门电路的组合基本逻辑门电路的组合第24页/共166页1.“与非与非”门电路门电路有有“0”出出“1”,全,全“1”出出“0”“与与与与”门门门门&ABCY&ABC“与非与非与非与非”门门门门00010011101111011001011101011110ABYC“与非与非与非与非”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表Y=A B C逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式:1Y“非非非非”门门门门第25页/共166页2.“或非或非”门电路门电路有有“1”出出“0”,全,全“0”出出“1”1Y“非非非非”门门门门00010010101011001000011001001110ABYC“或非或非或非或非”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表“或或或或”门门门门ABC 1“或非或非或非或非”门门门门YABC 1Y=A+B+C逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式:第26页/共166页3.“3.“与或非与或非与或非与或非”门电路门电路门电路门电路Y=AB+CD逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式:“与或非与或非与或非与或非”门门门门YABCD 1&0001BCYD“与或非与或非与或非与或非”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表A00011001010011000001100111010110110110010101101101011100100011010111001111011Y“非非非非”门门门门 1“或或或或”门门门门“与非与非与非与非”门门门门&CD&AB第27页/共166页4.“4.“异或异或异或异或”门电路门电路门电路门电路入入“同同”出出“0”,入,入“异异”出出“1”000ABY“异或异或异或异或”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表011101110逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式:Y=AB+AB&BAYY3Y2Y11ABY“异或异或异或异或”门门门门+=A B第28页/共166页5.“5.“同或同或同或同或”门电路门电路门电路门电路入入“异异”出出“0”,入,入“同同”出出“1”001ABY“同或同或同或同或”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表010100111ABY“同或同或同或同或”门门门门BAYY3Y2Y1 1 1 1 1逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式:Y=AB+AB=A B第29页/共166页例:根据输入波形画出输出波形例:根据输入波形画出输出波形例:根据输入波形画出输出波形例:根据输入波形画出输出波形ABY1&ABY1 1ABY2Y2第30页/共166页输入级输入级输入级输入级中间级中间级中间级中间级输出级输出级输出级输出级20.3.1 TTL20.3.1 TTL“与非与非”门电路门电路1.电路电路 T5Y R3R5AB CR4R2R1 T3 T4T2+5V T1E2E3E1B等效电路等效电路等效电路等效电路C多发射极三多发射极三多发射极三多发射极三极管极管极管极管20.3 TTL门电路门电路第31页/共166页 T5Y R3R5AB CR4R2R1 T3 T4T2+5V T1“1”(3.6V)(1)(1)输入全为高电平输入全为高电平输入全为高电平输入全为高电平“1”(3.6V)1”(3.6V)时时时时2.工作原理工作原理4.3VT T2 2、T T5 5饱和导通饱和导通饱和导通饱和导通钳位钳位2.1VE E结反偏结反偏结反偏结反偏截止截止截止截止“0”(0.3V)负载电流(灌负载电流(灌负载电流(灌负载电流(灌电流)电流)电流)电流)输入全高输入全高“1”,输出为输出为低低“0”1V第32页/共166页 T5Y R3R5AB CR4R2R1 T3 T4T2+5V T11VT T2 2、T T5 5截止截止截止截止 负载电流(拉负载电流(拉负载电流(拉负载电流(拉电流)电流)电流)电流)(2)(2)输入端有任一低电平输入端有任一低电平输入端有任一低电平输入端有任一低电平“0”(0.3V)0”(0.3V)(0.3V)“1”“0”输入有低输入有低“0”输出为输出为高高“1”流过流过 E结的电结的电流为正向电流流为正向电流VY 5-0.7-0.7 =3.6V5V第33页/共166页有有有有“0”0”出出出出“1”1”全全全全“1”1”出出出出“0”0”“与非与非”逻逻辑关系辑关系00010011101111011001011101011110ABYC“与非与非与非与非”门逻辑状态表门逻辑状态表门逻辑状态表门逻辑状态表Y=A B C逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式:Y&ABC“与非与非与非与非”门门门门第34页/共166页电压传输特性:电压传输特性:电压传输特性:电压传输特性:输出电压输出电压输出电压输出电压 U UOO与输入电压与输入电压与输入电压与输入电压 U Ui i的关系。的关系。的关系。的关系。C CD DE E3.TTL“与非与非”门电压传输特性门电压传输特性电压传输特性电压传输特性电压传输特性电压传输特性测试电路测试电路测试电路测试电路0 01 12 23 31 12 23 34 4 U Ui i/V/VU UOO/V/V&+5V+5VU Ui iU Uo oV VV VA AB B第35页/共166页(1)(1)输出高电平电压输出高电平电压UOH和输出低电平电压和输出低电平电压 UOL输出高电平电压输出高电平电压 U UOHOH对应于对应于AB AB 段输出电压段输出电压输出低电平电压输出低电平电压 U UOLOL对对应于应于DE DE 段输出电压段输出电压通用通用TTL TTL“与非与非”门门典型值典型值返回目录返回目录4.TTL“与非与非”门参数门参数第36页/共166页(2)(2)噪声容限电压噪声容限电压 低电平噪声容限电压低电平噪声容限电压 UNL在保证输出的高电平电压不低于额定值在保证输出的高电平电压不低于额定值9090的条件下所的条件下所容许叠加在输入低电平上的最大噪声(或干扰)电压。容许叠加在输入低电平上的最大噪声(或干扰)电压。是在保证条件下所容许是在保证条件下所容许的最大输入低电平电压的最大输入低电平电压返回目录返回目录第37页/共166页(2)(2)噪声容限电压噪声容限电压 高电平噪声容限电压高电平噪声容限电压 UNH在保证输出的低电平电压的条件下所容许叠加在输入高在保证输出的低电平电压的条件下所容许叠加在输入高电平(极性和输入信号相反)的最大噪声(干扰)电压电平(极性和输入信号相反)的最大噪声(干扰)电压在上述保证条件下所容在上述保证条件下所容的最小输入高电平电压的最小输入高电平电压返回目录返回目录第38页/共166页例如:例如:设设TTL TTL“与非与非”门的数据为门的数据为则:则:返回目录返回目录第39页/共166页(3)(3)扇出系数扇出系数NO指一个指一个 “与非与非”门能带同类门的最大数目,表示带负载能门能带同类门的最大数目,表示带负载能力力对对TTL TTL“与非与非”门门如何计算如何计算 N NO O返回目录返回目录第40页/共166页前后级之间的电流关系前后级之间的电流关系&前级&后级前级输出高电平前级流出电流 IOH(拉电流)输入高电平电流 IiH返回目录第41页/共166页前后级之间的电流关系前后级之间的电流关系&前级前级&后级后级前级输出低电平前级输出低电平 流入前级电流流入前级电流 IOL(灌电流)灌电流)输入低电平电流输入低电平电流 IiL返回目录返回目录第42页/共166页关于电流的技术参数关于电流的技术参数返回目录返回目录第43页/共166页前级输出为高电平时前级输出为高电平时IOHIiH1IiH3IiH2IiHN输出高电平时,流出前输出高电平时,流出前级的电流(拉电流):级的电流(拉电流):前级输出前级输出高电平高电平返回目录返回目录第44页/共166页前级输出为低电平时前级输出为低电平时IOLIiL1IiL3IiL2IiLN输出低电平时,流入前输出低电平时,流入前级的电流(灌电流):级的电流(灌电流):前级输出前级输出低电平低电平返回目录返回目录第45页/共166页(4)平均传输延迟时间平均传输延迟时间tuiotuoo50%50%tpd1tpd2平均传输延迟时间平均传输延迟时间注意注意:此值愈小愈好此值愈小愈好上升延迟时间上升延迟时间下降延迟时间下降延迟时间返回目录返回目录第46页/共166页5.5.两种实际的两种实际的TTLTTL与非门芯片与非门芯片CT74LS20(4CT74LS20(4输入输入2 2门门)CT74LS00(2CT74LS00(2输入输入4 4门门)返回目录返回目录第47页/共166页20.3.2 三态输出三态输出“与非与非”门门当控制端当控制端当控制端当控制端为高电平为高电平为高电平为高电平“1”1”时,时,时,时,实现正常实现正常实现正常实现正常的的的的“与非与非与非与非”逻辑关逻辑关逻辑关逻辑关系系系系 Y Y=ABAB“1”控制端控制端 DE1.电路电路 T5Y R3R5AB R4R2R1 T3 T4T2+5V T1截止截止截止截止第48页/共166页20.3.2 三态输出三态输出“与非与非”门门“0”控制端控制端 DE T5Y R3R5AB R4R2R1 T3 T4T2+5V T11.电路电路导通导通导通导通1V1V截止截止截止截止截止截止截止截止当控制端当控制端当控制端当控制端为低电平为低电平为低电平为低电平“0”0”时,时,时,时,输出输出输出输出 Y Y处处处处于开路状于开路状于开路状于开路状态,也称态,也称态,也称态,也称为高阻状为高阻状为高阻状为高阻状态。态。态。态。第49页/共166页&YEBA逻辑符号逻辑符号 0 高阻高阻0 0 1 1 0 1 1 1 1 0 1 11 1 1 0 表示任意态表示任意态表示任意态表示任意态20.3.2 三态输出三态输出“与非与非”门门三态输出三态输出三态输出三态输出“与非与非与非与非”状态表状态表状态表状态表ABEY输出高阻输出高阻输出高阻输出高阻功能表功能表第50页/共166页三态门应用:三态门应用:三态门应用:三态门应用:可实现用可实现用可实现用可实现用一条一条一条一条总线分时传送总线分时传送总线分时传送总线分时传送几个不同的数据或控制信号。几个不同的数据或控制信号。几个不同的数据或控制信号。几个不同的数据或控制信号。“1”“0”“0”如图所示:如图所示:如图所示:如图所示:总总总总线线线线&A A1 1B B1 1E E1 1&A A2 2B B2 2E E2 2&A A3 3B B3 3E E3 3A1 B1第51页/共166页20.4 CMOS门电路门电路 1.CMOS“非非”门电路门电路D DS SGGS SD DGG+U UDDDDA AY YT T1 1T T2 2PMOSPMOS管管管管NMOSNMOS管管管管CMOS CMOS 管管管管负载管负载管负载管负载管驱动管驱动管驱动管驱动管(互补对称管互补对称管互补对称管互补对称管)Y=AY=A第52页/共166页工作原理工作原理A0UDDST2DT1YSD截止截止导通导通输出输出 Y1返回目录返回目录第53页/共166页工作原理工作原理A1UDDST2DT1YSD导通导通截止截止输出输出 Y0返回目录返回目录第54页/共166页2.2.CMOS “与非与非”门电路门电路UDDT3T2AYT1BT4N 沟道增强型管沟道增强型管两管串联两管串联驱动管驱动管P 沟道增强型管沟道增强型管两管并联两管并联负载管负载管返回目录返回目录第55页/共166页A1UDDT3T2YT1T4B1导通导通电阻很低电阻很低截止截止电阻很高电阻很高02.2.CMOS “与非与非”门电路门电路返回目录返回目录第56页/共166页A0UDDT3T2YT1T4B1截止截止电阻很高电阻很高导通导通电阻很低电阻很低12.2.CMOS “与非与非”门电路门电路返回目录返回目录第57页/共166页3.3.CMOS “或非或非”门电路门电路UDDT3T2AT1T4BN 沟道增强型管沟道增强型管两管并联两管并联驱动管驱动管P 沟道增强型管沟道增强型管两管串联两管串联负载管负载管返回目录返回目录第58页/共166页UDDT3T2T1T4A0B0截止截止导通导通Y13.3.CMOS “或非或非”门电路门电路返回目录返回目录第59页/共166页UDDT3T2T1T4A0B1截止截止导通导通Y03.3.CMOS “或非或非”门电路门电路返回目录返回目录第60页/共166页4.CMOS4.CMOS传输传输门电路门电路U UDDDDu uiT T1 1T T2 2C CC Cu uOO控制极控制极控制极控制极控制极控制极控制极控制极(1 1)电路)电路)电路)电路(2 2)工作原理)工作原理)工作原理)工作原理设:设:设:设:10V10V0V0V可见可见可见可见u ui在在在在010V010V连续变连续变连续变连续变化时,至少有一个管化时,至少有一个管化时,至少有一个管化时,至少有一个管子导通,传输门打开,子导通,传输门打开,子导通,传输门打开,子导通,传输门打开,(相当于开关接通)(相当于开关接通)(相当于开关接通)(相当于开关接通)u ui可传输到输出端,即可传输到输出端,即可传输到输出端,即可传输到输出端,即u uOO=u ui,所以,所以,所以,所以COMSCOMS传传传传输门可以传输模拟信输门可以传输模拟信输门可以传输模拟信输门可以传输模拟信号,也称为号,也称为号,也称为号,也称为模拟开关模拟开关模拟开关模拟开关。(07V07V)导通导通导通导通(310V310V)导通导通导通导通第61页/共166页U UDDDDu uiT T1 1T T2 2C CC Cu uOO控制极控制极控制极控制极控制极控制极控制极控制极0V0V10V10V可见可见可见可见u ui在在在在010V010V连续变连续变连续变连续变化时,两管子均截止,化时,两管子均截止,化时,两管子均截止,化时,两管子均截止,传输门关断,(相当传输门关断,(相当传输门关断,(相当传输门关断,(相当于开关断开)于开关断开)于开关断开)于开关断开)u ui不能不能不能不能传输到输出端。传输到输出端。传输到输出端。传输到输出端。(010V010V)截止截止截止截止截止截止截止截止结论:结论:结论:结论:C C=“1”(=“1”(C C=“0”)=“0”)时传输门开通。时传输门开通。时传输门开通。时传输门开通。C C=“0”(=“0”(C C=“1”)=“1”)时传输门关断。时传输门关断。时传输门关断。时传输门关断。(2 2)工作原理)工作原理)工作原理)工作原理设:设:设:设:4.CMOS4.CMOS传输传输门电路门电路第62页/共166页TGuiuOCC逻辑符号逻辑符号逻辑符号逻辑符号开关电路开关电路开关电路开关电路TGuiuiCC1“1”开通开通开通开通TGuiuiCC1“0”关断关断关断关断4.CMOS4.CMOS传输传输门电路门电路第63页/共166页(1)(1)静态功耗低(每门只有静态功耗低(每门只有静态功耗低(每门只有静态功耗低(每门只有0.01mW,TTL0.01mW,TTL每门每门每门每门10mW)10mW)(2)(2)抗干扰能力强抗干扰能力强抗干扰能力强抗干扰能力强(3)(3)扇出系数大扇出系数大扇出系数大扇出系数大(4)(4)允许电源电压范围宽允许电源电压范围宽允许电源电压范围宽允许电源电压范围宽 (3 18V)(3 18V)(1)(1)速度快速度快速度快速度快(2)(2)抗干扰能力强抗干扰能力强抗干扰能力强抗干扰能力强(3)(3)带负载能力强带负载能力强带负载能力强带负载能力强第64页/共166页20.20.5 5 逻辑代数逻辑代数20.20.5 5.1.1 逻辑代数运算法则逻辑代数运算法则 在逻辑代数中,用在逻辑代数中,用 “1 1”、“0 0”表示两种表示两种对立的逻辑状态。对立的逻辑状态。普通代数表示普通代数表示数量关系数量关系逻辑代数表示逻辑代数表示逻辑关系逻辑关系逻辑代数中基本运算逻辑代数中基本运算逻辑乘(逻辑乘(“与与”运算)运算)逻辑加(逻辑加(“或或”运算)运算)求求 反(反(“非非”运算)运算)返回目录返回目录第65页/共166页0 0=0 1=1 0=01 1=10+0=00+1=1+0=1+1=1由三种基本的逻辑运算关系由三种基本的逻辑运算关系得以下运算结论得以下运算结论返回目录返回目录第66页/共166页1.基本运算法则基本运算法则1.A 0=0 A=0 2.A 1=1 A=A0 A 3.A A=A1 A A A 4.A A 5.A+0=A 0 A 返回目录返回目录第67页/共166页1 A 7.7.A+A=A6.A+1=18.A A A 9.返回目录返回目录第68页/共166页普通代数能否写成这种形式?交换律交换律结合律结合律分配律分配律10.A+B=B+A11.A B=B A13.A+B+C=A+(B+C)=(A+B)+C12.ABC=(AB)C=A(BC)14.A(B+C)=AB+AC15.A+BC=(A+B)(A+C)2.运算规律运算规律返回目录返回目录第69页/共166页16.A(A+B)=A证明:证明:A(A+B)=AAABAAB A(1B)A吸收律吸收律17.18.19.证明:证明:20.21.返回目录返回目录第70页/共166页吸收律(摩根定律)吸收律(摩根定律)21.22.证明:证明:23.返回目录返回目录AB00011011111001001111110011000000第71页/共166页20.20.5 5.2 .2 逻辑函数逻辑函数逻辑函数逻辑函数 Y Y(A A、B B、C C )A、B、C 是是输入变量输入变量,Y 是是输出变量输出变量。字母上无反号的叫字母上无反号的叫原变量原变量,有反号的叫,有反号的叫反变量反变量。任何一件具体事物的因果关系都可以用一个逻辑函数描述任何一件具体事物的因果关系都可以用一个逻辑函数描述逻辑函数常用逻辑函数常用等方法描述等方法描述逻辑状态表逻辑状态表 逻辑式逻辑式 逻辑图逻辑图 卡诺图卡诺图返回目录返回目录第72页/共166页举重裁判电路举重裁判电路BC A Y主裁判控主裁判控制按钮制按钮副裁判控副裁判控制按钮制按钮只有当主裁判按下按钮只有当主裁判按下按钮A,同时至少有一名副裁判同时至少有一名副裁判按下按钮按下按钮B 或或C 时,指示灯时,指示灯Y 才会亮。才会亮。指示灯指示灯Y 的状态是按钮的状态是按钮A、B、C 状态的函数状态的函数A1、B1、C1 表示三个按钮按下的状态,表示三个按钮按下的状态,A0、B0、C0 表示三个按钮没有按下的状态,表示三个按钮没有按下的状态,Y Y1 1 指示灯亮,指示灯亮,Y Y0 0 表示指示灯不亮。表示指示灯不亮。Y(A、B、C)返回目录返回目录第73页/共166页20.20.5 5.3 3 逻辑函数的表示方法逻辑函数的表示方法1.1.逻辑真值表逻辑真值表以表格的形式表示输入、输出变量的逻辑状态关系以表格的形式表示输入、输出变量的逻辑状态关系举重裁判电路的逻辑状态表举重裁判电路的逻辑状态表 输入输入 输出输出 Y A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 1 1 1 第74页/共166页2.2.逻辑函数式逻辑函数式 用用“与与”、“或或”、“非非”等逻辑运算的组合式,等逻辑运算的组合式,表示逻辑函数的输入与输出的关系的逻辑状态关系。表示逻辑函数的输入与输出的关系的逻辑状态关系。BC A Y举重裁判电路的逻辑函数式举重裁判电路的逻辑函数式YA(B+C)返回目录返回目录第75页/共166页3.3.逻辑图逻辑图 用用 “与与”、“或或”、“非非”等相应的逻辑符号表示函等相应的逻辑符号表示函数关系数关系YA(B+C)或门,实现或门,实现 Y1 B+C&ABCYY1与门,实现与门,实现 Y Y1 A返回目录返回目录第76页/共166页4.4.卡诺图卡诺图在在 n 变量逻辑函数中,若变量逻辑函数中,若m 为包含为包含 n 个因子的乘积项,而且这个因子的乘积项,而且这 n 个变量均个变量均以原变量或反变量的形式在以原变量或反变量的形式在 m 中出现中出现一次,称一次,称 m 为该组变量的最小项。为该组变量的最小项。例如:例如:A、B、C三变量的最小项有三变量的最小项有共共8个最小项(个最小项(23个)个)n 个个变量变量共有共有 个最小项个最小项最小项最小项返回目录返回目录第77页/共166页 若两个最小项只有一个变量以原、反区别,若两个最小项只有一个变量以原、反区别,称它们称它们逻辑相邻逻辑相邻。如如只有只有C 变量以原、反区别,具有相邻性变量以原、反区别,具有相邻性逻辑相邻的项逻辑相邻的项可以合并,消可以合并,消去一个因子。去一个因子。最小项有如下重要性质最小项有如下重要性质 :1.在输入变量的任何取值下在输入变量的任何取值下,必有一个最小项必有一个最小项,而且仅而且仅 有一个最小项的值为有一个最小项的值为12.任意两个最小项的乘积为任意两个最小项的乘积为03.全体最小项之和为全体最小项之和为14.具有相邻性的两个最小项之和可以合并成一项并具有相邻性的两个最小项之和可以合并成一项并消去一个因子消去一个因子返回目录返回目录第78页/共166页卡诺图卡诺图卡诺图卡诺图卡诺图的每一个方块(最小项)卡诺图的每一个方块(最小项)代表一种输入组合,并且把对应的输代表一种输入组合,并且把对应的输入组合注明在阵列图的左方和上方。入组合注明在阵列图的左方和上方。是与变量的最小项对应的按一定规则排列的是与变量的最小项对应的按一定规则排列的方格图,每一个小方格填入一个最小项。方格图,每一个小方格填入一个最小项。返回目录返回目录第79页/共166页单元单元编号编号0010填入填入ABCD0100函数值函数值四变量卡诺图四变量卡诺图只有只有一项一项不同不同返回目录返回目录第80页/共166页 输入输入 输出输出 Y A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 1 1 1 举重裁判电路举重裁判电路卡诺图卡诺图返回目录返回目录第81页/共166页用卡诺图表示逻辑函数用卡诺图表示逻辑函数逻辑函数逻辑函数最小项之和最小项之和对应位置写对应位置写1其余写其余写0写成写成在卡诺在卡诺图上图上结论:结论:任何一个逻辑函数都等于它的卡诺图任何一个逻辑函数都等于它的卡诺图 中填中填1 1的那些最小项之和。的那些最小项之和。返回目录返回目录第82页/共166页例:用卡诺图表示逻辑函数用卡诺图表示逻辑函数解:首先把首先把Y化成最小项之和的形式化成最小项之和的形式返回目录返回目录第83页/共166页 1 1 1 1 0 1 0 0 1 0 0 1 0 0 1 0画出四变量的卡诺图,在对应于函数画出四变量的卡诺图,在对应于函数式中各最小式中各最小 的位置上填入的位置上填入1,其余位,其余位置上填入置上填入0,就得到如下,就得到如下Y 的卡诺图的卡诺图返回目录返回目录第84页/共166页例例1:化简化简 20.20.5 5.4 4 逻辑函数的化简逻辑函数的化简1.1.应用逻辑代数运算法则化简应用逻辑代数运算法则化简 (1 1)并项法)并项法)并项法)并项法例例2:化简化简(2 2)配项法)配项法)配项法)配项法第85页/共166页例例3:化简化简(3 3)加项法)加项法)加项法)加项法(4 4)消因子法)消因子法)消因子法)消因子法吸收吸收吸收吸收例例4:化简化简第86页/共166页(5 5)吸收法)吸收法利用利用可将可将AB项消去。项消去。例例5:返回目录返回目录第87页/共166页(6 6)消项法)消项法利用利用将将BC项消去项消去例例6:返回目录返回目录第88页/共166页例例7:化简化简吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收吸收第89页/共166页2.2.应用卡诺图化简应用卡诺图化简用卡诺图化简的思想就是利用基本定律用卡诺图化简的思想就是利用基本定律把互反的变量消去把互反的变量消去,使两个乘积项合并为一个乘积项。使两个乘积项合并为一个乘积项。利用卡诺图化简的规则:利用卡诺图化简的规则:将取值为将取值为“1”的相邻小方格圈成矩形,相邻小方格包括最上的相邻小方格圈成矩形,相邻小方格包括最上 行与最下行及最左列与最右列同列或同行两端的两个小方格。行与最下行及最左列与最右列同列或同行两端的两个小方格。圈的个数应最少,圈内小方格个数应尽可能多。圈的个数应最少,圈内小方格个数应尽可能多。所圈取值为所圈取值为“1”的相邻小方格的个数应为的相邻小方格的个数应为 2n 个个 每圈一个新的圈时,必须包含至少一个从未圈过的最小项。每圈一个新的圈时,必须包含至少一个从未圈过的最小项。每一个取值为每一个取值为“1”的小方格可被圈多次。的小方格可被圈多次。返回目录返回目录第90页/共166页例例1 1:用卡诺图化简逻辑函数:用卡诺图化简逻辑函数画出四变量的卡诺图画出四变量的卡诺图把函数把函数 所具有的最小项为的填入相应的小方格中所具有的最小项为的填入相应的小方格中将函数式中没有出现最小项的位置填将函数式中没有出现最小项的位置填圈取值为圈取值为1 1的小方格的小方格,个数为个数为n n,小方格尽可能地多取。小方格尽可能地多取。消去取值不同的变量消去取值不同的变量将得到的三个最小项相加,得将得到的三个最小项相加,得第91页/共166页不能采用的圈小方格的方法:不能采用的圈小方格的方法:ABCD0001 11 1000011110返回目录返回目录第92页/共166页例例2 2:化简:化简Y(A,B,C,D)=(0,2,3,5,6,8,9,10,11,12,13,14,15)ABCD0001 11 10000111101111111 11 11111 11000 0A返回目录返回目录第93页/共166页思考题:思考题:试用卡诺图表示式试用卡诺图表示式从图上能否看出这已是最简式?从图上能否看出这已是最简式?返回目录返回目录第94页/共166页20.20.6 6.1 .1 组合逻辑电路的分析组合逻辑电路的分析组合逻辑电路的分析所要完成的工作组合逻辑电路的分析所要完成的工作是通过分析找出电路的逻辑功能来。是通过分析找出电路的逻辑功能来。分析组合逻辑电路的步骤分析组合逻辑电路的步骤:逻辑图逻辑图逻辑式逻辑式运用逻辑运用逻辑代数化简代数化简真值表真值表分析分析功能功能返回目录返回目录第95页/共166页例例1 1:分析下面的逻辑图分析下面的逻辑图&BAYY2Y1XG1G2G3G4返回目录返回目录第96页/共166页 输入输入 输出输出 Y A B 0 0 0 1 1 0 1 1 0 1 1 0 逻辑状态表逻辑状态表逻辑功能逻辑功能:当输入端:当输入端A和和B不是同为不是同为1或或0时,输出为时,输出为1;否则,输出为否则,输出为0。异或门异或门第97页/共166页例例例例2 2:分析下图的逻辑功能分析下图的逻辑功能分析下图的逻辑功能分析下图的逻辑功能Y&1.BA&C101AA写出逻辑式:写出逻辑式:写出逻辑式:写出逻辑式:=AC+BCY=AC BC 设:设:C=1封锁封锁打开打开选通选通A信号信号第98页/共166页B BY&1.BA&C001设:设:C=0封锁封锁封锁封锁选通选通B信号信号打开打开打开打开例例例例 2 2:分析下图的逻辑功能分析下图的逻辑功能分析下图的逻辑功能分析下图的逻辑功能B写出逻辑式:写出逻辑式:写出逻辑式:写出逻辑式:=AC+BCY=AC BC第99页/共166页20.20.6 6.2.2 组合逻辑电路的综合组合逻辑电路的综合 组合逻辑电路的组合逻辑电路的 综合(或称为设计)的工作综合(或称为设计)的工作是要求设计者按照给定的具体逻辑要求设计出最简单的逻辑电路。是要求设计者按照给定的具体逻辑要求设计出最简单的逻辑电路。综合组合逻辑电路的步骤:综合组合逻辑电路的步骤:逻辑逻辑要求要求逻辑逻辑状态表状态表逻辑式逻辑式化简化简或变换或变换逻辑图逻辑图返回目录返回目录第100页/共166页例例1:旅客列车分特快、直快和普快,并依此为优先旅客列车分特快、直快和普快,并依此为优先通行次序。某站在同一时间只能有一趟列车从车站通行次序。某站在同一时间只能有一趟列车从车站开出,即只能给出一个开车信号,试画出满足上述开出,即只能给出一个开车信号,试画出满足上述要求的逻辑电路。要求的逻辑电路。设设A、B、C 分别代表特快、直快、普快分别代表特快、直快、普快 开车信号分别为开车信号分别为YA、YB、YC返回目录返回目录第101页/共166页解:解:由题中给出的逻辑要求,列逻辑状态表由题中给出的逻辑要求,列逻辑状态表 0 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 YC 0 0 0 0 1 1 1 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 1 YB YA C B A 返回目录返回目录第102页/共166页对已写出的函数式化简对已写出的函数式化简&返回目录返回目录第103页/共166页例例2:有三个输入变量有三个输入变量A、B、C,当输入端有偶数个当输入端有偶数个1时,给出一个指示信号,试用与非门实现。时,给出一个指示信号,试用与非门实现。解:解:根据要求写出逻辑状态表根据要求写出逻辑状态表 AB C Y 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 1 0 1 1 0返回目录返回目录规定:输入有偶数个1时,输出用高电平表示第104页/共166页题中要求用与非门实现题中要求用与非门实现 将上式进行变换成将上式进行变换成与非式与非式根据直值表,可写出如下的函数式根据直值表,可写出如下的函数式返回目录返回目录第105页/共166页根据上面的逻辑函数式,画出逻辑图。根据上面的逻辑函数式,画出逻辑图。&返回目录返回目录第106页/共166页2.应用应用“与非与非”门构成门构成“或或”门门电路电路1.应用应用“与非与非”门构成门构成“与与”门电路门电路AY&B&BAY&由逻辑代数运算法则:由逻辑代数运算法则:由逻辑代数运算法则:由逻辑代数运算法则:用用 “与非与非”门构成基本门电路门构成基本门电路第107页/共166页&YA3.3.应用应用应用应用“与非与非与非与非”门构成门构成门构成门构成“非非非非”门电路门电路门电路门电路4.4.用用用用“与非与非与非与非”门构成门构成门构成门构成“或非或非或非或非”门门门门YBA&由逻辑代数运算法则:由逻辑代数运算法则:第108页/共166页例例例例 3:3:某工厂有某工厂有某工厂有某工厂有A A、B B、C C三个车间和一个自备电三个车间和一个自备电三个车间和一个自备电三个车间和一个自备电站,站内有两台发电机站,站内有两台发电机站,站内有两台发电机站,站内有两台发电机G G1 1和和和和G G2 2。G G1 1的容量是的容量是的容量是的容量是G G2 2的的的的两倍。如果一个车间开工,只需两倍。如果一个车间开工,只需两倍。如果一个车间开工,只需两倍。如果一个车间开工,只需G G2 2运行即可满足运行即可满足运行即可满足运行即可满足要求;如果两个车间开工,只需要求;如果两个车间开工,只需要求;如果两个车间开工,只需要求;如果两个车间开工,只需G G1 1运行,如果三运行,如果三运行,如果三运行,如果三个车间同时开工,则个车间同时开工,则个车间同时开工,则个车间同时开工,则G G1 1和和和和 G G2 2均需运行。试画出均需运行。试画出均需运行。试画出均需运行。试画出控制控制控制控制G G1 1和和和和 G G2 2运行的逻辑图。运行的逻辑图。运行的逻辑图。运行的逻辑图。设:设:设:设:A A、B B、C C分别表示三个车间的开工状态:分别表示三个车间的开工状态:分别表示三个车间的开工状态:分别表示三个车间的开工状态:开工为开工为“1”,不开工为,不开工为“0”;G1和和 G2运行为运行为“1”,不运行为,不运行为“0”。第109页/共166页 逻辑要求:如果一个车逻辑要求:如果一个车逻辑要求:如果一个车逻辑要求:如果一个车间开工,只需间开工,只需间开工,只需间开工,只需G G2 2运行即可运行即可运行即可运行即可满足要求;如果两个车间满足要求;如果两个车间满足要求;如果两个车间满足要求;如果两个车间开工,只需开工,只需开工,只需开工,只需G G1 1运行,如果运行,如果运行,如果运行,如果三个车间同时开工,则三个车间同时开工,则三个车间同时开工,则三个车间同时开工,则G G1 1和和和和 G G2 2均需运行。均需运行。均需运行。均需运行。开工开工开工开工“1”不开工不开工不开工不开工“0”运行运行运行运行“1”不运行不运行不运行不运行“0”(1)(1)根据逻辑要求列状态表根据逻辑要求列状态表根据逻辑要求列状态表根据逻辑要求列状态表0111 0 0 1 0 100011 0 11 0 10 0 1 0 1 0 0 1 1 1 0 0 1 1 01 1 10 0 0A B C G1 G2第110页/共166页(2)(2)由状态表写出逻辑式由状态表写出逻辑式由状态表写出逻辑式由状态表写出逻辑式ABC00100111101111或由卡图诺可得相同结果或由卡图诺可得相同结果或由卡图诺可得相同结果或由卡图诺可得相同结果 (3)(3)化简逻辑式可得:化简逻辑式可得:化简逻辑式可得:化简逻辑式可得:1 0 10 0 1 0 1 0 0 1 1 1 0 0 1 1 01 1 10 0 00111 0 0 1 0A B C G1 G2 100011 0 1第111页/共166页(4)(4)用用用用“与非与非与非与非”门构成逻辑电路门构成逻辑电路门构成逻辑电路门构成逻辑电路 由逻辑表达式画出由逻辑表达式画出由逻辑表达式画出由逻辑表达式画出卡诺图,由卡图诺可卡诺图,由卡图诺可卡诺图,由卡图诺可卡诺图,由卡图诺可知,该函数不可化简。知,该函数不可化简。知,该函数不可化简。知,该函数不可化简。ABC00100111101111第112页/共166页(5)(5)画出逻辑图画出逻辑图画出逻辑图画出逻辑图A BCA BC&G1G2&第113页/共166页20.20.7 7.1 1 半加器半加器“半加半加”就是求本位和,不考虑低位进来的进位数。就是求本位和,不考虑低位进来的进位数。半加和半加和进位进位返回目录返回目录20.20.7 7 加法器加法器第114页/共166页半加器逻辑状态表半加器逻辑状态表 A B C S 0 0 1 1 0 1 0 1 0 0 0 1 0 1 1 0逻辑式逻辑式半加器符号半加器符号&1逻辑图逻辑图返回目录返回目录第115页/共166页20.20.7 7.2 2 全加器全加器 在多位数相加时,两个待加数在多位数相加时,两个待加数 和和 还要还要考虑来自低位的进位数考虑来自低位的进位数 ,由此得出本位和数,由此得出本位和数(全加和数)(全加和数)和进位数和进位数 0 1 1 0 1 0 0 1 0 0 0 1 0 1 1 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 1 全加器逻辑状态表全加器逻辑状态表第116页/共166页根据逻辑状态表,写出逻辑函数式根据逻辑状态表,写出逻辑函数式AiBiCi-1 1&1Si1Ci1逻辑图逻辑图第117页/共166页半加和:半加和:所以:所以:根据逻辑状态表,写出逻辑函数式根据逻辑状态表,写出逻辑函数式返回目录返回目录第118页/共166页逻辑符号逻辑符号返回目录返回目录半加器构成的全加器半加器构成的全加器半加器构成的全加器半加器构成的全加器1BiAiCi-1SiC Ci iC COO C COO 第119页/共166页思考题思考题:试说明试说明各式的含义各式的含义返回目录返回目录第120页/共166页20.8 20.8 编码器编码器 把二进制码按一定规律编排,使每组代码具把二进制码按一定规律编排,使每组代码具把二进制码按一定规律编排,使每组代码具把二进制码按一定规律编排,使每组代码具有一特定的含义,有一特定的含义,有一特定的含义,有一特定的含义,称为编码。称为编码。称为编码。称为编码。具有编码功能的逻辑电路称为编码器。具有编码功能的逻辑电路称为编码器。具有编码功能的逻辑电路称为编码器。具有编码功能的逻辑电路称为编码器。n 位二进制代码有位二进制代码有 2n 种组合,可以表示种组合,可以表示 2n 个个信息。信息。要表示要表示要表示要表示N N个信息所需的二进制代码应满足个信息所需的二进制代码应满足个信息所需的二进制代码应满足个信息所需的二进制代码应满足2n N第121页/共166页20.8.1 二进制编码器二进制编码器将输入信号编成二进制代码的电路。将输入信号编成二进制代码的电路。将输入信号编成二进制代码的电路。将输入信号编成二进制代码的电路。2 2n n个个个个n n位位位位编码器编码器高高高高低低低低电电电电平平平平信信信信号号号号二二二二进进进进制制制制代代代代码码码码第122页/共166页例:例:例:例:设计一个编码器,满足以下要求:设计一个编码器,满足以下要求:设计一个编码器,满足以下要求:设计一个编码器,满足以下要求:(1)(1)将将将将 I I0 0、I I1 1、I I7 7 8 8个信号编成二进制代码。个信号编成二进制代码。个信号编成二进制代码。个信号编成二进制代码。(2)(2)编码器每次只能对一个信号进行编码,不编码器每次只能对一
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!