在系统编程技术

上传人:san****019 文档编号:21173547 上传时间:2021-04-25 格式:PPT 页数:73 大小:1.60MB
返回 下载 相关 举报
在系统编程技术_第1页
第1页 / 共73页
在系统编程技术_第2页
第2页 / 共73页
在系统编程技术_第3页
第3页 / 共73页
点击查看更多>>
资源描述
第 五 章 在 系 统 编 程 技 术梁 华 国计 算 机 与 信 息 学 院计 算 机 系 统 结 构 研 究 室http:/ 第 五 章 在 系 统 编 程 技 术n ISP技 术 的 特 点n ISP逻 辑 器 件 系 列n ispLSI器 件 的 结 构n 在 系 统 编 程 原 理 和 方 法n ABLE-HDL语 言 介 绍 ISP技 术 的 特 点常 规 的 PLD在 使 用 中 是 对 每 个 器 件 单 独 编 程 然 后 在 装 配 ,而 采 用 ISP技 术 是先 装 备 ,然 后 编 程 ,成 为 产 品 后 还 可 反 复 编 程 .ISP技 术 的 出 现 ,使 得 数 字 设 计 ,生 产 和 维 护 都 发 生 了 革 命 性 的 变 化 . 1)ISP技 术 在 数 字 设 计 阶 段 的 贡 献 (1) 设 计 样 机 设 计 开 始 ABEL 语 言 和 原理 图 混 合 输 入 逻 辑 仿 真 下 载 ISP器 件 设 计 流 程 ABEL语 言 输 入 设 计 输 入 原 理 图 输 入 逻 辑 适 配 ISP技 术 的 特 点 ( 2) 系 统 调 试 ( 3) 电 路 板 的 重 构 和 现 场 的 升 级 换 代2) ISP技 术 对 数 字 系 统 生 产 阶 段 的 贡 献 ( 1) 简 化 了 生 产 流 程 且 无 引 脚 损 伤 采 用 非 ISP 器 件 的 采 用 ISP 器 件 后 的 标 准 流 程 改 进 流 程 图 5. 1 制 造 流 程 比 较 取 库 存 器 件 ( 一 个 品 种 ) 取 库 存 器 件 (一 个 品 种 ) 对 每 个 器 件 编 程 对 已 编 程 的 器 件 加 贴 标 签 电 路 板 装 配 已 编 程 器 件 入 库 ( 多 个 品 种 ) 电 路 板 测 试 利 用 ISP 技 术 进 行 诊 断 ISP 器 件 正 式 编 程 电 路 板 最 后 测 试 边 界 扫 描 测 试 电 路 板 装 配 电 路 板 测 试 ISP技 术 的 特 点(2)多 功 能 硬 件(3)边 界 扫 描 测 试3) 在 系 统 可 编 程 的 EECMOS工 艺 ISP逻 辑 器 件 系 列目 前 ,商 品 化 的 在 系 统 可 编 程 逻 辑 器 件 有 ISPLSI,ISPGAL和 ISPGDS(Generic Digital Switch).5.2.1 ispLSI系 列 美 国 Lattice公 司 是 世 界 上 第 一 片 GAL诞 生 地 .特 别 是 九 十 年 代 发 明 并 率 先 推 出 的 ISP技 术 ,开 拓 了 新 一 代 的 PLD.Lattice公 司 已 将 ISP技 术 应 用 到 高 密 度 可 编 程 逻 辑 器 件 (HDPLD)中 ,形 成 ispLSI系 列 高 密 度 在 系 统 可 编 程 逻 辑 器 件 . 目 前 ,ispLSI 器 件 有 六 个 系 列 :1000系 列 ,2000系 列 ,3000系 列 ,5000V系 列 , 6000系 列 和 8000系 列 .5.2.2 ispGAL系 列 ispGAL系 列 器 件 率 先 把 ISP技 术 引 入 到 标 准 的 低 密 度 系 列 可 编 程 逻 辑 器 件 中 . ispGAL22V10器 件 就 是 把 流 行 的 GAL22V10与 ISP技 术 结 合 起 来 ,在 功 能 和 结 构 上 与 GAL22V10完 全 相 同 . 每 片 ispGAL22V10可 以 保 证 一 万 次 在 系 统 编 程 . ISP逻 辑 器 件 系 列5.2.3 ispGDS(Generic Digital Switch)系 列 在 系 统 可 编 程 数 字 开 关 ispGDS系 列 意 味 着 ISP技 术 已 经 从 系 统 逻 辑 领 域 扩展 到 系 统 互 联 领 域 .这 种 ISP技 术 与 开 关 矩 阵 相 结 合 的 产 物 能 提 供 这 样 一 种 独特 的 功 能 ,即 在 不 拨 动 机 械 开 关 或 不 改 变 系 统 硬 件 的 情 况 下 ,快 速 的 改 变 或 重构 印 制 电 路 板 的 连 接 关 系 .ispGDS系 列 器 件 使 得 系 统 硬 件 可 以 通 过 软 件 控 制来 进 行 重 构 而 无 需 人 工 干 预 . ISP逻 辑 器 件 系 列 ispLSI器 件 的 结 构ispLSI系 列 器 件 是 基 于与 或 阵 列 结 构 的 复 杂PLD产 品 .芯 片 由 若 干 个 巨 块 组 成 ,巨 块 之 间 通 过 全 局 布 线区 (GRP)连 接 起 来 .下 面 以 ispLSI1032为例 介 绍 ispLSI的 结 构 原理 .其 芯 片 含 有 84个 引脚 , 集 成 密 度 为 6000个 等 效 门 。 最 高 工 作 频率 为 90MHZ。 ispLSI器 件 的 结 构5.3.1 全 局 布 线 区 (GRP) GRP位 于 芯 片 的 中 央 ,它 以 固 定 的 方 式 将 所 有 片 内 逻 辑 联 系 在 一 起 .其 特 点 是 I/O之 间 的 延 迟 是 恒 定 的 和 可 预 知 的 .5.3.2 通 用 逻 辑 块 (GLB)ispLSI1032的 与 阵 列 有 18个 输入 端 ,16个 来 自 GRP,2个 专 用 输入 端 .每 个 GLB有 20个 与 门 ,形 成20个 乘 积 项 ,再 通 过 四 个 或 门 输出 .四 输 出 宏 单 元 有 四 个 触 发 器 ,触 发 器 可 组 态 为 D,T,JK等 形 式 .GLB有 5种 组 合 模 式 . ispLSI器 件 的 结 构 ispLSI器 件 的 结 构图 5.7所 示 是高 速 直 通 组 态模 式 .四 个 或 门跨 过 PTSA直接 与 四 个 触 发器 相 连 ,避 免 了电 路 延 时 ,可 用来 支 持 快 速 计数 器 设 计12,17,18,19不 加 入 相 应 的或 门 .12和 19 作 为 控 制 逻 辑的 输 入 信 号 . 图 5.8所 示 是异 或 逻 辑 组 态模 式 .采 用 四 个异 或 门 ,各 异 或门 的 一 个 输 入分 别 为 乘 积 项0,4,8,13,另 一个 输 入 则 从 四个 或 门 输 出 任意 组 合 .此 种 组合 适 用 于 计 数器 ,比 较 器 和ALU的 设 计 .ispLSI器 件 的 结 构 ispLSI器 件 的 结 构图 5.9是 单 乘 积项 结 构 ,将 乘 积项 0,4,10,13直接 输 出 ,与 触 发器 连 接 ,速 度 最快 . 图 5.10是 多 模式 结 构 .前 面 四种 模 式 可 以 在同 一 个 GLB中混 合 使 用 ,图 中所 示 是 该 结 构一 例 .O3采 用 3乘 积 项 驱 动 的异 或 模 式 ,O2采用 高 速 组 态 ,O1采 用 单 乘 积 项组 态 ,O0采 用11个 乘 积 项 驱动 的 标 准 模 式 .ispLSI器 件 的 结 构 ispLSI器 件 的 结 构四 输 出 逻 辑 宏 单 元 中 4个D触 发 器 的 时 钟 是 连 在 一起 的 , 图 右 下 方 的 两 个MUX中 ,左 边 一 个 用 来 选择 时 钟 ,右 边 一 个 用 来 控制 时 钟 极 性 .同 样 ,四 个 触发 器 的 复 位 断 也 是 相 连 的 .复 位 信 号 可 以 是 全 局 复 位信 号 ,也 可 以 是 本 GLB中12或 19产 生 的 复 位 信 号 ,两 者 是 或 的 关 系 .在 同 一个 GLB中 ,4个 触 发 器 同 时复 位 ,而 各 GLB可 不 同 时 复 位 . ispLSI器 件 的 结 构5.3.3 布 线 区 1.全 局 布 线 区 GRP 位 于 芯 片 中 央 ,将 所 有 的 片 内 逻 辑 联 系 在 一 起 ,能 预 知 输 入 输 出 之 间 的 延 迟 ,提 供 了 完 善 的 片 内 互 联 性 能 .2. 输 出 布 线 区 ORP ORP是 一 个 可 编 程 的 输出 矩 阵 ,位 于 GLB和 IOC之 间 的 可 编 程 互 联 阵 列 , 通 过 对 ORP的 编 程 可 以 .将 任 一 个 GLB的 输 出 送 到16个 I/O端 的 某 一 个 .isp1032的 一 大 特 点 是 IOC和 GLB之 间 没 有 一 一 对 应 的 关 系 . 在 ORP旁 边 有 16条 通 向 GRP的 总 线 ,I/O单 元 可 以 使 用 ,GLB输 出 也 可 以 通 过ORP使 用 它 ,从 而 方 便 的 实 现 了 I/O端 复 用 功 能 和 GLB之 间 的 互 联 . ispLSI器 件 的 结 构有 时 为 了 高 速 的 工 作 ,GLB输出 还 可 跨 过 ORP直 接 与 I/O单 元 相 连 . ispLSI器 件 的 结 构5.3.4 输 入 输 出 单 元 其 内 部 结 构 如 图 所示 ,有 6个 多 路 开 关MUX,一 个 特 殊 的 触 发器 和 门 电 路 .IOC单 元 是 用 于 将 输入 信 号 ,输 出 信 号 或 输入 输 出 双 向 信 号 与 具体 的 I/O管 脚 相 连 ,靠MUX1来 选 择 形 成 输入 ,输 出 ,双 向 I/O口 . ispLSI器 件 的 结 构MUX2和 MUX3用 来 选 择 信号 输 出 途 径 和 输 出 极 性 .MUX4则 用 来 选 择 输 入 组 态用 何 种 方 式 输 入 .IOC中 的 触发 器 有 两 种 工 作 方 式 ,一 种 是锁 存 方 式 ,在 时 钟 信 号 0电 平时 锁 存 ,二 是 寄 存 器 方 式 ,在时 钟 信 号 上 升 沿 时 将 时 钟 信号 存 入 寄 存 器 .触 发 器 的 时 钟由 时 钟 分 配 网 络 提 供 ,并 通 过MUX5和 MUX6选 择 和 调 整极 性 .触 发 器 的 复 位 则 由 全 局复 位 信 号 RESET实 现 . ispLSI器 件 的 结 构 ispLSI器 件 的 结 构5.3.5 巨 块 的 组 成 一 个 巨 块 包 含 8个 GLB,一个 输 出 布 线 区 ,16个 I/O单 元 ,两 个 直 接 输 入 (IN0,IN1)ISP1016中 有 两 个 巨块 ,ISP1032中 有 四 个 巨 块 .两 个 专 用 输 入 端 不 经 过 锁 存器 直 接 输 入 . ispLSI器 件 的 结 构图 5.16中 8个 GLB可 分 别 产 生 8个 OE信 号 ,由 八 选 一 OE选 择 器 选 出 ,连 接到 这 个 巨 块 的 所 有I/O单 元 .GOE0和 GOE1是ispLSI1000E系列 器 件 中 两 个 可 以选 择 的 “ 全 局 输 出使 能 ” 引 脚 .与 两个 专 用 输 入 引 脚 是 复 用 的 . 24 ispLSI器 件 的 结 构5.3.6 时 钟 分 配 网 络 CDN 它 产 生 5个 全 局 时 钟 信 号 ,前 三 个 同 步 时 钟 信号 可 供 所 有 的 GLB使 用 .后 两 个 可 用 于 所 有 的I/O单 元 .其 输 入 信 号 由 四 个 专 用 时 钟 输 入 引 脚 提 供 .但 时 钟 网 络 的 输 入 也 可 以 是 GLB的 4个 输 出 ,以便 生 成 内 部 时 钟 电 路 ,内 部 时 钟 由 用 户 自 己 定 义 . 在 系 统 编 程 原 理 和 方 法5.4.1 在 系 统 编 程 原 理在 系 统 编 程 过 程 :1) 将 JEDEC文 件 中 的 数 据 自 SDI端 串行 输 入 数 据 寄 存 器 。2) 将 编 程 数 据 写 入 EECMOS单 元 。3) 将 写 入 的 数 据 自 SDO移 出 进 行 校 验 。将 编 程 数 据 写 入 EECMOS单 元 过 程 :对 起 始 行 编 程 时 , 先 将 欲 写 入 该 行 的 数据 串 行 移 入 水 平 移 位 寄 存 器 , 并 将地 址 移 位 寄 存 器 中 与 0行 对 应 的 位 置 置 1, 其 余 位 置 置 0, 让 该 行 被 选 中 , 在编 程 脉 冲 的 作 用 下 , 将 水 平 移 位 寄 存 器 的 数 据 写 入 该 行 。 然 后 地 址 移 位 寄 存器 移 动 下 一 位 , 使 阵 列 下 一 行 被 选 中 。 在 系 统 编 程 原 理 和 方 法ISP器 件 编 程 时 如 何 与 外 系 统 脱 离 : ISPLSI有 两 种 工 作 模 式 : 正 常 模 式 和 编 辑 模 式 。 由 编 程 使 能 信 号 ispEN来 控 制 , 当 ispEN为 高 电 平 时 , 器 件 处 于 正 常 模 式 ; 当 ispEN为 低 电 平 时 ,器 件 所 有 I/O端 的 三 态 缓 冲 电 路 皆 处 于 高 阻 状 态 , 切 断 了 芯 片 与 外 部 电路 的 联 系 , 避 免 了 芯 片 与 外 电 路 的 相 互 影 响 。ispLSI器 件 有 五 个 编 程 接 口 : ispEN ,SDI,MODE,SDO,和 SCLK.一 旦 处在 编 辑 模 式 下 ,SDI完 成 两 种 功 能 ,一 是 作 为 串 行 移 位 寄 存 器 的 输 入 ,二 是 作 为 编 程 状 态 机 的 一 个 控 制 信 号 ,由 MODE控 制 ,MODE为 低时 ,SDI作 为 串 行 移 位 寄 存 器 的 输 入 ,为 高 时 ,作 为 控 制 信 号 . SDO串 行 数 据 输 出 端 ,将 串 行 移 位 寄 存 器 的 输 出 反 馈 给 计 算 机 ,对数 据 进 行 校 验 .SCLK提 供 串 行 移 位 寄 存 器 和 片 内 时 序 机 的 时 钟 信 号 . 对 ISP编 程 有 许 多 步 ,这 些 步 骤 在 计 算 机 的 命 令 下 按 一 定 顺 序 执 行 ,因 此 在 ISPLSI中 安 排 了 一 个 编 程 状 态 机 来 控 制 编 程 操 作 . 在 系 统 编 程 原 理 和 方 法闲 置 状 态 :MODE,SDI均 为 低 .当 开 始 编 程 是 ,必 须 通 知 ISP开 始编 程 ,有 一 个 通 知 信 号 即 识 别 码 . 在 系 统 编 程 原 理 和 方 法5.4.2 ISP器 件 的 编 程 方 式 1.利 用 PC机 的 I/O口 编 程 接 在 打 印 机 端 口 .2.多 芯 片 ISP编 程 2.1 典 型 的 ISP编 程 电 路 在 系 统 编 程 原 理 和 方 法2.2 菊 花 链 结 构 : 特 殊 的 串 行 用 编 程 方 式 ,特 点 是 各 片 共 一 套 ISP编 程 接 口 . 类 似 移 位 寄 存 器 . ABLE_HDL语 言 介 绍n ABLE_HDL语 言 概 览n ABLE_HDL语 言 的 基 本 语 法n ABLE_HDL模 块 的 基 本 结 构 ABLE_HDL语 言 概 览ABLE源 文 件 构 成 :顶 层 模 块 低 层 模 块ABLE_HDL设 计 的 基 本 单 位 模 块 ABLE_HDL语 言 概 览n 模 块 结 构 :模 块 开 始 ( module语 句 ) 标 志 ( flag语 句 ) 标 题 ( title语 句 ) 器 件 定 义 ( device语 句 )管 脚 、 节 点 定 义 ( pin, node语 句 )属 性 定 义 ( istype语 句 )常 量 定 义 ( constant语 句 )宏 定 义 ( macro语 句 )逻 辑 方 程 式 ( equations语 句 ) 真 值 表 ( truth_table语 句 )状 态 图 ( state_diagram语 句 ) 熔 丝 段 定 义 ( fuses语 句 ) 测 试 向 量 ( test_vectors语 句 ) 模 块 结 束 ( end语 句 ) 说 明 段定 义 段描 述 段熔 丝 段测 试 段 ABLE_HDL语 言 概 览module m6809a ( 模 块 语 句 )title 6809 memory decode Jean Designer Data I/O Corp Redmond WA24 Feb 1984 ( 标 题 语 句 )U09a device P14L4; ( 器 件 定 义 )A15, A14, A13, A12, A11, A10 pin 1,2,3,4,5,6; ( 管 脚 定 义 )ROM1,IO,ROM2,DRAM pin 14,15,16,17;H,L,X =1,0,.X.; ( 常 量 定 义 )Address= A15, A14, A13, A12, A11, A10,.X., .X., .X., .X.,.X.,.X.,.X.,.X.,.X.,.X.;Equations ( 方 程 )!DRAM=(Address=hE000) test_vectors(Address-ROM1,ROM2,IO,DRAM) ( 测 试 向 量 )h0000 - H, H, H, L;h4000 - H, H, H, L;h8000 - H, H, H, L;hC000 - H, H, H, L;hE000 - H, H, L, H;hE800 - H, H, H, H;hF000 - H, L, H, H;hF800 - L, H, H, L;end m6809a ABLE_HDL语 言 介 绍n ABLE_HDL语 言 概 览n ABLE_HDL语 言 的 基 本 语 法n ABLE_HDL模 块 的 基 本 结 构 ABLE_HDL语 言 的 基 本 语 法n 关 键 字关 键 字 功 能 说 明 关 键 字 功 能 说 明Module 模 块 开 始 语 句 End 模 块 结 束 语 句Node 节 点 说 明 语 句 Pin 引 脚 说 明 语 句Title 标 题 语 句 Device 器 件 说 明 语 句Equations 逻 辑 方 程 State 状 态 说 明 语 句Property 特 征 说 明 语 句 Declarations 说 明 段 关 键 字Test_vectors 测 试 向 量 Truth_table 真 值 表 ABLE_HDL语 言 的 基 本 语 法关 键 字 功 能 说 明 关 键 字 功 能 说 明Functional_block 功 能 模 块 说 明语 句 Fuses 熔 丝 状 态 说 明语 句Async_reset 异 步 复 位 状 态描 述 语 言 Sync_reset 同 步 复 位 状 态描 述 语 句Interface 功 能 模 块 接 口语 句 State_diagram 状 态 图 关 键 字Goto 无 条 件 转 移 语句 Case-Endcase 条 件 选 择 语 句If-Then-Else 条 件 转 移 语 句只 能 用 于 状 态图 输 入 When-Then-Else 条 件 转 移 语 句只 能 用 于 方 程中 ABLE_HDL语 言 的 基 本 语 法关 键 字 功 能 说 明 关 键 字 功 能 说 明With 转 移 方 程 语 句 Wait 等 待 关 键 字State_register 状 态 寄 存 器说 明 语 句 Options 控 制 选 项 定 义语 句Istype 属 性 说 明 语 句 Cycle 周 期 关 键 字Xor_factors 异 或 因 子关 键 字 Trace 跟 踪 选 项关 键 字Library 库 引 用 语 句 Macro 宏 说 明 语 句 ABLE_HDL语 言 的 基 本 语 法n 标 志 符 标 志 器 件 、 引 脚 、 节 点 、 集 合 、 输 入 /输出 信 号 等 的 合 法 字 符 序 列 标 志 符 区 分 大 小 写 , 且 不 能 与 关 键 字 重 名n 字 符 串 title 3:8encoder;n 注 释 “ declaration section” “module name(回 车 ) ABLE_HDL语 言 的 基 本 语 法n 4种 基 数 表 示 法RADIX命 令 改 变 缺 省 的 基 值 radix 2; “change default base to binary radix 1010; “change from binary to decimal基 数 名 称 基 数 前 导 符 号 举 例 十 进 制 值二 进 制 2 b b101 5八 进 制 8 o o77 63十 进 制 10 d d43 43十 六 进 制 16 h h0F 15 ABLE_HDL语 言 的 基 本 语 法n 专 用 常 量 .C. 正 脉 冲 时 钟 输 入 ( 低 高 低 转 换 ) .K. 负 脉 冲 时 钟 输 入 ( 高 低 高 转 换 ) .D. 时 钟 下 降 沿 .U. 时 钟 上 升 沿 .F. 浮 动 输 入 或 输 出 .P. 寄 存 器 预 加 载 .X. 任 意 值 .Z. 高 阻 态 测 试 输 入 或 输 出 ( 三 态 值 ) .SVn. n=29, 驱 动 输 入 到 过 电 压 29 ABLE_HDL语 言 的 基 本 语 法n 运 算 符 及 其 优 先 级类 别 运 算 符 优 先 级 说 明算术运算符 - 1 取 补 2 右 移* 2 乘 法/ 2 无 符 号 除 法% 2 取 模+ 3 加 法 - 3 减 法 ABLE_HDL语 言 的 基 本 语 法 Example: 2#4$2 4 2#(4$2) 6类 别 运 算 符 优 先 级 说 明逻辑运算符 ! 1 非 ( 没 有 指 定 寄 存 器 类 型 ) q0.d = a * b ; ( D型 寄 存 器 ) ABLE_HDL语 言 的 基 本 语 法 TRUTH_TABLE(A,B-F); 1,1-0; 1,0-1; 0,1-1; DCSET F=A F?=!A SELECT=S0, S1, S2 MULTOUT=B0. B7; SELECT=S0.S2;n 用 集 合 运 算 实 现 布 尔 方 程 ChipSel =A15 ( 16位 地 址 线 中 的 高 三 位 ) Addr= A15,A14,A13; ( 定 义 常 量 集 合 ) ChipSel=Addr=1,0,1; ( 方 程 ) ChipSel=Addr=5; ABLE_HDL语 言 的 基 本 语 法 Addr=A15 . A0; X=.X.; ChipSel=Addr=1,0,1,X,X,X,X,X,X,X,X,X,X,X,X,X; ChipSel=(Addr=hA000)Title 3 inputs and gate; ABLE_HDL模 块 的 基 本 结 构n 顶 层 模 块 接 口 说 明n 关 键 字 : INTERFACEn 语 法 : 低 层 模 块 名 INTERFACE ( 输 入 值 - 输 出 /集 合 : 双 向 /集 合 ) ;n 功 能 块 语 句 n 关 键 字 : FUNCTIONAL_BLOCKn 语 法 : 例 化 名 FUNCTIONAL_BLOCK 模 块 名 ; and1 interface (ia1,ia2,ia3-oa1);and1_1 fuctional_block and1; ABLE_HDL模 块 的 基 本 结 构n 符 号 状 态 说 明n 状 态 寄 存 器 说 明n 关 键 字 : STATE_REGISTERn 语 法 : 状 态 寄 存 器 标 志 STATE_REGISTER ISTYPE 属 性 ; n 状 态 说 明n 关 键 字 : STATEn 语 法 : 状 态 标 志 符 ,状 态 标 志 符 , STATE 状 态 值 ,状 态 值 ,; ABLE_HDL模 块 的 基 本 结 构n 宏 说 明n 关 键 字 : MACROn 语 法 : 宏 标 志 符 MACRO 哑 变 量 ,哑 变 量 , 块 ; n 在 源 文 件 中 使 用 宏 可 避 免 重 复 写 一 些 功 能 块 的 代 码n 库 说 明 n 关 键 字 : LIBRARYn 语 法 : LIBRARY 库 名 n 将 指 定 的 ABLE-HDL库 文 件 插 入 到 当 前 文 件 中 ABLE_HDL模 块 的 基 本 结 构n 逻 辑 描 述 部n 点 扩 展 名n 语 法 : 信 号 名 .扩 展 名 Q1.CLK = PULSE; Q2.D = A1 BACK与 器 件 结 构 无 关的 点 扩 展 名 ABLE_HDL模 块 的 基 本 结 构n 逻 辑 等 式n 关 键 字 : EQUATIONSn 语 法 : EQUATIONS in 器 件 名 信 号 名 ?=表 达 式 ; 信 号 名 ?:=表 达 式 ; WHEN-THEN-ELSE 语 句 ;n 真 值 表 n 关 键 字 : TRUTH_TABLEn 语 法 : TRUTH_TABLE(输 入 -输 出 ) TRUTH_TABLE(输 入 :寄 存 器 输 出 -输 出 ) ABLE_HDL模 块 的 基 本 结 构(1)TRUTH_TABLE (a, q.fb, load : q)0,0,0 : 1;0,1,0 : 0;1,0,0 : 1;1,1,0 : 0;0,0,1 : 0;1,0,1 : 1;0,1,1 : 0;1,1,1 : 1;(2)EQUATIONSq := a ABLE_HDL模 块 的 基 本 结 构n 状 态 描 述n 关 键 字 : STATE_DIAGRAMn 语 法 : STATE_DIAGRAM 状 态 寄 存 器 - 状 态 输 出 STATE 状 态 表 达 式 : 逻 辑 等 式 逻 辑 等 式 状 态 转 换 n 说 明 : 状 态 转 换 用 IF-THEN-ELSE、 CASE或 GOTO 语 句 实 现 , 在 这 些 语 句 后 可 选 用 WITH语 句 ABLE_HDL模 块 的 基 本 结 构状 态 1 状 态 2状 态 3状 态 4W=2 W=1无 条 件 转 移 y!=3 y=3 无 条 件 转 移 ABLE_HDL模 块 的 基 本 结 构current_state=a,b;state_diagram current_state state 1: w=1; y=1; goto 4;state 2: if y=3 then 3 else 4;state 3: w=2; y=w; goto 2;state 4: y=3; case w=1:2; w=2:1; endcase; ABLE_HDL模 块 的 基 本 结 构n 测 试 向 量 部n 测 试 向 量n 关 键 字 : TEST_VECTORSn 语 法 : TEST_VECTORS 注 释 ( 输 入 - 输 出 ) 输 入 值 - 输 出 值 ; test_vectors ( A,B - C,D ) 0,0-1,1; 0,1-1,0; 1,0-0,1; 1,1-0,0; ABLE_HDL模 块 的 基 本 结 构n 跟 踪 语 句n 关 键 字 : TRACEn 语 法 : TRACE ( 输 入 - 输 出 ) ;n 说 明 : 用 于 控 制 模 拟 时 显 示 哪 些 输 入 和 输 出 , 可 放在 测 试 向 量 之 前 或 测 试 向 量 中n 结 束 部 n 关 键 字 : ENDn 语 法 : END 模 块 名 P178 习 题 3, 4, 8, 9, 11, 13, 17, 18, 19, 20, 23, 24
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!