多功能霓虹灯控制电路说明书

上传人:仙*** 文档编号:139120157 上传时间:2022-08-22 格式:DOC 页数:19 大小:1.44MB
返回 下载 相关 举报
多功能霓虹灯控制电路说明书_第1页
第1页 / 共19页
多功能霓虹灯控制电路说明书_第2页
第2页 / 共19页
多功能霓虹灯控制电路说明书_第3页
第3页 / 共19页
点击查看更多>>
资源描述
学 号: 课 程 设 计题 目多种图案霓虹灯控制器设计学 院自动化学院专 业自动化专业班 级自动化1005班姓 名指导教师 2012 年7月3日武汉理工大学电工电子综合课程设计课程设计任务书学生姓名: 专业班级: 自动化1005 指导教师: 工作单位: 自动化学院 题 目: 多种图案霓虹灯控制器设计 初始条件:1 运用所学的模拟电路和数字电路等知识;2 用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。要求完成的主要任务:1 现有4只彩灯,红绿蓝黄,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的两种图案及其状态转换如下所示:2 摇摆状态01011010,重复6次。3 暗点循环01111011110111100111这样重复循环3次。4 霓虹灯控制工作状态按照上述两步自动重复循环。时间间隔为1秒。5 严格按照课程设计说明书要求撰写课程设计说明书。时间安排: 第1天 下达课程设计任务书,根据任务书查找资料;第24天 进行方案论证,软件模拟仿真并确定设计方案; 第5天 提交电路图,经审查后领取元器件;第68天 组装电路并调试,检查错误并提出问题;第911天 结果分析整理,撰写课程设计报告,验收调试结果;第1214天 补充完成课程设计报告和答辩。指导教师签名: 2012年 6月26日系主任(或责任教师)签名: 2012年 6月26日0武汉理工大学电工电子综合课程设计目 录引言.11 设计意义及要求21.1 设计意义21.2 设计要求22 方案设计32.1 设计思路32.2 方案设计32.2.1设计方案一电路图32.2.2设计方案二电路图42.3 方案比较43 部分电路设计63.1 暗点循环63.2 摇摆状态73.3 十二进制计数器73.4 时钟脉冲信号的制作83.5 选通电路94 调试与检测 104.1 调试中故障及解决办法 104.2 调试与运行结果 115 仿真操作步骤及使用说明. 12结束语.13参考文献.14附录 电路图.15本科生课程设计成绩评定表引言随着现代社会的不断发展,霓虹灯在我们的日常生活中随处可见。我们是祖国新一代的大学生,又学习了很多关于数字电路和模拟电路的专业知识,掌握霓虹灯的工作原理成了我们非常基本的一项技能。本文首先阐述了本次课程设计的设计要求,即小彩灯的工作状态,这样清楚的阐述了本次设计要达到的效果。接着是对整体电路图的设计思路,以及各个单元电路的实现方法,主要是以74系列芯片为基础,充分运用了数字电路和模拟电路等知识。再然后就是在设计的过程中遇到的问题以及解决方法,这部分总结了在设计过程中遇到的种种问题,也是对我们的课程设计最大的考验。在文章的最后是仿真操作的步骤以及使用说明,阐述了电路最终达到的效果以及使用的方法。 1 武汉理工大学电工电子综合课程设计1、设计意义及要求 1.1 设计意义在现在的生活中,霓虹灯随处可见,够掌握霓虹灯的原理,而且能够设计出自己想要的图案这已经成为大学生的一项基本素质,并且在设计的过程中可以很好的运用所学过的数电模电知识,是对我们所学习知识的一种很好的运用。 1.2 设计要求初始条件:1运用所学的模拟电路和数字电路等知识;2用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。要求完成的主要任务:现有红黄蓝绿四只彩灯,运用所学的模拟电路和数字电路等知识,试设计彩灯控制器,要求彩灯能实现如下追逐图案,彩灯控制器的两种图案及其状态转换如下所示:1、摇摆状态01011010,重复6次;2、暗点循环01111011110111100111这样重复循环3次。霓虹灯控制工作状态按照上述两步自动重复循环。时间间隔为1秒。2.方案设计 2.1 设计思路首先我们应该设计出各部分的单元电路,一部分为我们的电路提供稳定的信号脉冲;另外设计彩灯的循环状态的单元电路包括以上暗点循环和摇摆两种状态;然后通过一个选通电路实现两种状态的转换,选通电路可以通过控制芯片的使能端控制芯片的工作与否来实现。 2.2 方案设计 2.2.1设计方案一电路图首先,先用555定时器制作一个1HZ的脉冲信号,为电路提供f=1HZ的信号脉冲。然后,设计分别实现两种循环状态的电路图,摇摆状态与暗点循环。暗点循环电路可以通过译码器来实现,因为彩灯共有四个,用74ls139二线四线译码器即可,可以通过74ls90二-五十进制计数器构成的四进制计数器来为译码器提供信号,因为译码器是低电平输出有效,故电路呈现出暗点循环。摇摆电路与暗点循环电路类似,可以用74ls90构成的四进制计数器和二线四线译码器来实现,由于139是低电平输出有效,可以把输出按一定规律输入两个与门,实现两个与门的输出的摇摆状态,再接到1,3和2,4个小彩灯上,最终实现摇摆电路。接下来设计选通电路,由于暗点循环状态和摇摆状态都是每个周期持续12个信号脉冲,所占的时间都是12秒,用两个74ls90构成十二进制的计数器,当计数器计数到十二时利用反馈清零将计数器的输出端全部置零,然后把十二计数器清零时产生的下降沿脉冲输入到一个由JK触发器构成的T触发器上,使触发器的输出端产生反转。由于触发器的两个输出端输出的是相反的信号,我们分别把两个相反的信号输入到两个139译码器的使能端,则两个译码器在同一时刻只能有一个在工作,另一个输出高电平,最终实现了选通电路。最后,经过分析和计算将两个139译码器的输出端分别通过与门与发光二级管相连,最终实现了摇摆状态和暗点循环状态两种状态循环进行的霓虹灯控制电路。 2.2.2设计方案二电路图首先,利用555计时器做一个输出为1HZ的脉冲信号。其次,利用74ls90、74ls139设计可以实现一种功能的单元电路,这里同方案一的设计思路。在选通电路的设计时,这里利用的是74ls90十进制的加计数器,利用Q0的输出翻转并通过非门电路连接到两片139的使能端来实现选通电路。由于其中的一个经过了一个非门电路,所以同一时刻两个译码器肯定只有一个正常工作,实现了选通电路。最后,通过计算, 分别将暗点循环电路的四个输出通过与门的一个输入端输入,摇摆电路的四个输入端两两经过一个与门电路,再将与门电路的两个输出分别接到1,3和2,4个与门电路的输入端,与门电路的输出端接到发光二级管,最终构成两种状态循环的电路。 设计方案二电路图 2.3 方案比较 方案一和方案二在单元电路的设计思路上基本一致,区别在于选通电路的设计思路,方案一的选通电路是用的触发器,利用了T触发器每接收一个信号脉冲则输出状态发生反转,并且利用了触发器的互补输出的特性实现了两个译码器芯片的选通。方案二的选通电路利用的是74ls90芯片的Q0输出端每接收一个脉冲信号发生反转的特性,并且巧妙的利用的一个非门实现了两个译码器芯片的选通。两个方案中方案一的思路稍微简单,很符合常人的设计思路,理解起来比较简单。方案二更加巧妙的利用的90的一些特性,设计时思路要求较高,但是由于电路中90芯片应用频率较高,取材较为方便。3.部分电路设计 3.1 暗点循环电路说明:先用一个74ls90制作一个四进制的计数器,然后把Q0和Q1分别接到74ls139的AB输入端,通过计数器和译码器实现暗点循环电路。 74ls90引脚图及功能表: 3.2 摇摆状态说明:如上图,用74ls90制作一个四进制计数器,将Q0、Q1分别接A、B,通过74LS139输出,然后将Y0、Y2和Y1、Y3分别接入两个与门后输出,在分别将其输出接到D1、D3和D2、D4,在脉冲信号作用下,因为139芯片的四个输出端一次出现低电平,故两个与门的输出呈现摇摆状态,再将两个输出端分别接到D1,D3和D2,D4所以彩灯呈现出摇摆状态。 74ls08引脚图 3.3 十二进制计数器 说明:十二进制计数器由两片74ls90构成,将U1的Q3输出端输入到U2的信号输入端,然后把U1的Q0输出端反馈输入到U1和U2的R0(1)输入端,把U2的Q1输出端反馈输入到U1和U2的R0(2)输入端,当计数器计数到12时,通过反馈把输出端异步清零,构成十二进制的计数器。 十二进制计数器图 3.4 选通电路说明:选通电路是由JK触发器通过把JK两端相连并且置1得到的,电路中每接收一个脉冲信号则Q端的输出发生反转,并且另一端的输出与Q互补,通过将Q和Q非接到两个139的使能端构成选通电路。 74ls112引脚图 3.5 时钟脉冲信号的制作说明:555定时器是由555芯片以及其外围电路构成的,其周期T0.7 (R1+ +2R2) C。因为R1=40K R2=17K,C=18uF 故周期大约为1S。555芯片引脚图如下图。 4、调试与检测 4.1 调试中故障及解决办法在调试过程中,电路也曾出现了一些问题,例如: 如上图,开始时的12进制的计数器是用的四位二进制计数器74ls161,但是由于用161时仿真过程中总是会出现多余的状态,经过检查是由于信号经过161产生的时差,后来改成用两片74ls90构成12进制的计数器,问题解决。如上图:按此电路图仿真出来的结果是正确的,彩灯的状态完全符合要求,但是由于彩灯的输入端采用的是线与的形式,经老师的指导,做出来的实物会出现短路。所以经过改正,最终确定电路为下图形式。 4.2 调试与运行结果打开软件后,点击“调试”下的“开始重启动调试”,或者直接按“Ctrl+F12”,电路就开始调试,可以发现灯开始闪烁。运行结果:首先,开始运行时,从左往右数第二盏和第四盏同时点亮,第一盏灯和第三盏灯灭,1秒后,第一盏灯和第三盏灯同时点亮,第二盏和第四盏同时熄灭,此过程重复了6次,时间12秒;然后,左边第一盏灯熄灭,其余三盏灯点亮,过1秒后,左边第二盏灯熄灭,其余三盏灯点亮,依次到第四盏灯熄灭,其余三盏灯点亮,此过程重复3次,时间12秒。此后,四盏灯便按上述步骤所述自动重复循环,周期为24秒。5、 仿真操作步骤及使用说明点击仿真运行按键后,四盏灯将会按照下面的顺序进行亮灭:1为亮,0暗)摇摆状态:0101,1010,0101,1010,0101,1010,0101,1010,0101,1010,0101,1010暗点循环:0111,1011,1101,1110,0111,1011,1101,1110,0111,1011,1101,1110随后,四盏灯按上述状态依次重复运行,周期为24秒。结束语通过将近一周的课程设计,我觉得自己的收获主要是以下的几个方面:首先这次的课程设计让我学会了一种新的软件的应用,以前设计电路从来没有仿真,这次我学会了一种新的检验自己电路的方法,就是protues电路仿真。另外通过这次的课程设计让我更加的巩固了以前学习过的数字电路以及模拟电路的知识,让我从纯理论的学习更加深层次的加深到了实践中去学习,经过这次的学习,我确实的学会了很多的东西,以前从来不知道的芯片,通过这次的设计我都有了一定的了解,以前没注意的知识点在实践中也得到了强化。这次的课程设计老师还提供了很多的元器件,我们都可以亲手的完成自己的设计,通过这次动手能力的训练,我们同时也得到了很好的训练的机会,对于提升我们的动手能力也有很大的帮助。最后这次的课程设计不仅仅是我们个人的设计,还包括小组的方案的最终定论,通过这次的机会,我们能够更多的和同学接触,讨论期间共同遇见的一些问题,并合作解决,所以课程设计不仅仅是对我们所学习知识以及动手能力的一种考验,更多的还是对我们团队协作的一种考验,增强了我们团队协作的能力。通过这次的课程设计训练,我不仅仅学会了很多的知识,更学会了很多课本上没有的知识,收获颇丰。我以后会更加努力的学习专业知识,努力在自己的领域多做贡献。参考文献-电工电子综合课程设计1 康华光.电子技术基础-数字部分(第五版),高等教育出版,2006.12 王兰君.新编电工使用电路500例,河南科学技术出版社3 王源.使用电路基础,机械工业出版社4 祁存荣,陈伟.电子技术基础实验(数字部分) 武汉理工大学教材中心5 张葛祥.MATLAB仿真技术与应用.北京:清华大学大学出版社,20036 王兴亮主编.现代音响和调音技术.西安电子科技大学出版,20067 陈永甫主编.数字电路基础及快速识图.人民邮电出版社,2006.58 刘修文主编.实用电子电路设计制作300例.中国电力出版社,2005 0武汉理工大学电工电子综合课程设计附录 电路图武汉理工大学电工电子综合课程设计本科生课程设计成绩评定表姓 名性 别男专业、班级自动化专业自动化1005班课程设计题目:多种图案霓虹灯控制器设计课程设计答辩或质疑记录:成绩评定依据:设计方案与内容(30分)制作与调试(20分)说明书内容与规范程度(30分)答 辩(10分)学习态度与考勤(10分)总 分(100分)最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字: 2012年 7月 3日
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 小学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!