EDA流水灯设计

上传人:无*** 文档编号:134636409 上传时间:2022-08-13 格式:DOC 页数:7 大小:99KB
返回 下载 相关 举报
EDA流水灯设计_第1页
第1页 / 共7页
EDA流水灯设计_第2页
第2页 / 共7页
EDA流水灯设计_第3页
第3页 / 共7页
点击查看更多>>
资源描述
EDA课程设计报告设计课题: 流水灯 姓 名: 专 业: 电子信息工程 学 号: 流水灯1. 设计的任务与要求此次设计彩灯控制系统就是为了了解如何运用VHDL语言来实现彩灯的循环控制,并且能改变彩灯循环的模式。从而能为以后制作跑马灯,花样灯等打下基础。更好的掌握对日常各式各样变幻灯的认识。1.画出仿真波形图2.写出VHDL语言3.编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在相关章节中),有心得体会。2. 方案2.1 彩灯控制系统的方案 彩灯是由实验箱上的LED灯代替,有一下四种循环模式(1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。(2)彩灯两边同时各亮一个,然后逐次向中间点亮。(3)彩灯从左到右两个两个点亮,然后从右到左两个两个逐次熄灭。(4)彩灯中间两个点亮。然后同时向两边散开。(5)彩灯最后一个开始亮一次,结束亮一次,其他的两个两个逐次亮(6)彩灯在开始和结束时候,最后两个灯亮起,其他从左到右逐次亮(7)彩灯第七个在第三次,其他的两个两个逐次亮(8)彩灯第五个和第八个在第一次亮,第八个在第二次亮,其他的两个两个逐次亮本控制电路采用VHDL语言设计。运用自顶而下的设计思想,按功能逐层分割实现层次化设计。根据多路彩灯控制器的设计原理,将整个控制器分为四个部分,分别对应彩灯的四种变化模式、利用VHDL语言实现该功能2.2 仿真后的波形图以下为选取四种循环模式的仿真波形图:3.系统的VHDL编译语言LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_ARITH.ALL;USE IEEE.std_logic_UNSIGNED.ALL;ENTITY CaiDeng ISport(CLK:IN std_logic; RST:in std_logic; SelMode:in std_logic_vector(2 downto 0);-彩灯花样控制 Light:out std_logic_vector(7 downto 0);END CaiDeng;ARCHITECTURE control OF CaiDeng ISSIGNAL clk1ms:std_logic:=0;SIGNAL cnt1:std_logic_vector(3 downto 0):=0000;SIGNAL cnt2:std_logic_vector(1 downto 0):=00;SIGNAL cnt3:std_logic_vector(3 downto 0):=0000;SIGNAL cnt4:std_logic_vector(1 downto 0):=00;SIGNAL cnt5:std_logic_vector(3 downto 0):=0000;SIGNAL cnt6:std_logic_vector(3 downto 0):=0000;SIGNAL cnt7:std_logic_vector(3 downto 0):=0000;SIGNAL cnt8:std_logic_vector(3 downto 0):=0000;BEGINP1:PROCESS(clk)BEGINif(clkEVENT AND clk=1)then if selmode=000 then -第一种彩灯花样的程序 if cnt1=1111 then cnt1=0000; else cnt1lightlightlightlightlightlightlightlightlightlightlightlightlightlightlightlight=00000000; end case; elsif selmode=001 then - 第二种彩灯花样的程序 if cnt2=11 then cnt2=00; else cnt2lightlightlightlightlight=00000000; end case; elsif selmode=010 then -第三种彩灯花样的程序 if cnt3=1111 then cnt3=0000; else cnt3lightlightlightlightlightlightlightlightlightlightlightlightlightlight=00000000; end case; elsif selmode=011 then - 第四种彩灯花样的程序 if cnt4=11 then cnt4=00; else cnt4lightlightlightlightlight=00000000; end case; -d elsif selmode=100 then -第五种彩灯花样的程序 if cnt5=1111 then cnt5=0000; else cnt5lightlightlightlightlightlightlightlightlightlightlightlightlightlight=00000000; end case; -d elsif selmode=101 then -第六种彩灯花样的程序 if cnt6=1111 then cnt6=0000; else cnt6lightlightlightlightlightlightlightlightlightlightlightlightlightlightlightlight=00000000; end case; elsif selmode=110 then -第七种彩灯花样的程序 if cnt7=1111 then cnt7=0000; else cnt7lightlightlightlightlightlightlightlightlightlightlightlightlightlight=00000000; end case; elsif selmode=111 then -第八种彩灯花样的程序 if cnt8=1111 then cnt8=0000; else cnt8lightlightlightlightlightlightlightlightlightlightlightlightlightlight=00000000; end case; -d end if;end if;END PROCESS P1;-P2:PROCESS(clk) -分频进程-variable cnt:integer range 0 to 1000;-BEGIN-IF(RST=0)then-cnt:=0;-ELSIF(clkEVENT AND clk=1)then-if cnt999 then-cnt:=cnt+1;-clk1ms=0;-else-cnt:=0;-end if;-end PROCESS P2;end control; 5经验体会通过本次设计让我明白了VHDL语言的实用性,同时让我对QuartusII有了进一步的了解。虽然在设计过程种遇到了许多麻烦,比如语言编写的错误,思路想法的偏离.但通过问同学,老师,以及上网了解后最终还是纠正了这些错误。能把这个设计彻底弄好,的确该归功自己不懈的努力,当说那个VHDL语言就折磨了我半死,由于我没用分块处理,导致语言较长,容易混乱。不过通过不断的检查和咨询,最后还是编写成功了。也通过本次彩灯设计让我知道了日常生活种各种花样灯的工作模式,希望能够通过接下来的学习,自己能够设计出生活中实用的样式灯。
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 压缩资料 > 基础医学


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!